Altera DE2-115 User Manual Page 47

  • Download
  • Add to my manuals
  • Print
  • Page
    / 121
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 46
46
4
4
.
.
8
8
U
U
s
s
i
i
n
n
g
g
t
t
h
h
e
e
E
E
x
x
p
p
a
a
n
n
s
s
i
i
o
o
n
n
H
H
e
e
a
a
d
d
e
e
r
r
The DE2-115 Board provides one 40-pin expansion header. The header connects directly to 36 pins
of the Cyclone IV E FPGA, and also provides DC +5V (VCC5), DC +3.3V (VCC3P3), and two
GND pins. Figure 4-15 shows the I/O distribution of the GPIO connector. The maximum power
consumption of the daughter card that connects to GPIO port is shown in Table 4-10.
Figure 4-15 GPIO Pin Arrangement
Table 4-10 Power Supply of the Expansion Header
Supplied Voltage Max. Current Limit
5V 1A
3.3V 1.5A
Each pin on the expansion headers is connected to two diodes and a resistor that provides protection
against high and low voltages. Figure 4-16 shows the protection circuitry for only one of the pin on
the header, but this circuitry is included for all 36 data pins.
Page view 46
1 2 ... 42 43 44 45 46 47 48 49 50 51 52 ... 120 121

Comments to this Manuals

No comments