Altera Transceiver PHY IP Core User Manual Page 20

  • Download
  • Add to my manuals
  • Print
  • Page
    / 702
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 19
When you specify multiple .spd files, the ip-make-simscript utility generates a single simulation script
containing all required simulation information. The default value of TOP_LEVEL_NAME is the
TOP_LEVEL_NAME defined in the IP core or Qsys .spd file. If this is not the top-level instance in your
design, specify the top-level instance of your testbench or design.
You can set appropriate variables in the script or edit the variable assignments directly in the script. If the
simulation script is a Tcl file that can be sourced in the simulator, set the variables before sourcing the
script. If the simulation script is a shell script, pass in the variables as command-line arguments to shell
script.
To run ip-make-simscript , type the following at the command prompt:
<ACDS installation path>\quartus\sopc_builder\bin\ip-make-simscript
The following tables lists some of the options available with this utility.
Table 1-3: Options for the ip-make-simscript Utility
Option Description Status
--spd=<file>
Describes the list of compiled files and
memory model hierarchy. If your design
includes multiple IP cores or Qsys systems
that include .spd files, use this option for each
file. For example:
ip-make-simscript --spd=ip1.spd --
spd=ip2.spd
Require
d
--output-
directory=<directory>
Directory path specifying the location of
output files. If unspecified, the default setting
is the directory from which ip-make-
simscript is run.
Option
al
--compile-to-work
Compiles all design files to the default work
library. Use this option only if you encounter
problems managing your simulation with
multiple libraries.
Option
al
--use-relative-paths Uses relative paths whenever possible Option
al
To learn about all options for the ip-make-simscript , type the following at the command prompt:
<ACDS installation path>\quartus\sopc_builder\bin\ip-make-simscript --help
Related Information
Mentor Graphics ModelSim Support
Simulating Altera Designs
Unsupported Features
The protocol-specific and native transceiver PHYs are not supported in Qsys in the current release.
UG-01080
2015.01.19
Unsupported Features
1-9
Introduction to the Protocol-Specific and Native Transceiver PHYs
Altera Corporation
Send Feedback
Page view 19
1 2 ... 15 16 17 18 19 20 21 22 23 24 25 ... 701 702

Comments to this Manuals

No comments