Altera Clock Control Block IP Core User Manual Page 21

  • Download
  • Add to my manuals
  • Print
  • Page
    / 26
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 20
Chapter 3: Functional Description 3–9
Prototypes and Component Declarations
February 2014 Altera Corporation Clock Control Block (ALTCLKCTRL) Megafunction
User Guide
clkselect:in std_logic_vector(width_clkselect-1 downto 0) :=
(others => '0');
ena : in std_logic := '1';
inclk: in std_logic_vector(number_of_clocks-1 downto 0) :=
(others => '0');
outclk:out std_logic
);
end component;
VHDL LIBRARY-USE Declaration
The VHDL LIBRARY-USE declaration is not required if you use the VHDL
component declaration.
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
Page view 20
1 2 ... 16 17 18 19 20 21 22 23 24 25 26

Comments to this Manuals

No comments