Altera Transceiver PHY IP Core User Manual

Browse online or download User Manual for Measuring instruments Altera Transceiver PHY IP Core. Altera Transceiver PHY IP Core User Manual

  • Download
  • Add to my manuals
  • Print
  • Page
    / 702
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 0
Altera Transceiver PHY IP Core User
Guide
Subscribe
Send Feedback
UG-01080
2015.01.12
101 Innovation Drive
San Jose, CA 95134
www.altera.com
Page view 0
1 2 3 4 5 6 ... 701 702

Summary of Contents

Page 1 - San Jose, CA 95134

Altera Transceiver PHY IP Core UserGuideSubscribeSend FeedbackUG-010802015.01.12101 Innovation DriveSan Jose, CA 95134www.altera.com

Page 2 - Contents

Enabling the Standard PCS PRBS Verifier Using Streamer-Based Reconfiguration...16-46Enabling the Standard PCS PRBS Generator Using Streamer-Base

Page 3

WordAddrBit R/W Name Description13:8 RO LD coefficientstatus[5:0]Status report register for the contents of the second, 16-bitword of the training fra

Page 4

WordAddrBit R/W Name Description23 ROorRWLP PresetCoefficientsWhen set to 1, The local device TX coefficients are set to astate where equalization is

Page 5

WordAddrBit R/W Name Description0xD55:0 R LT VOD setting Stores the most recent VOD setting that LT specified using theTransceiver Reconfiguration Con

Page 6

WordAddrBit R/W Name Description20:16 RW LT VPOST ovrd Override value for the VPOSTRULE parameter. Whenenabled, this value substitutes for the VPOSTRU

Page 7

Addr Bit Access Name Description0x64 [31:0] RW pma_rx_set_locktodataWhen set, programs the RX CDR PLL to lock to theincoming data.0x65 [31:0] RW pma_r

Page 8

Table 4-22: PCS RegistersAddr Bit AccessName Description0x80 31:0 RW Indirect_addr Because the PHY implements a single channel, thisregister must rema

Page 9

7. Create a MIF for each configuration and associate each MIF with a ROM created in Step 6. Forexample, create a MIF for 1G with 1588 , a MIF for 10G

Page 10 - Altera Corporation

Example 4-1: Edits to a MIF to Remove PMA SettingsUG-010802015.01.19Editing a 10GBASE-KR MIF File4-51Backplane Ethernet 10GBASE-KR PHY IP Core with Ea

Page 11

Design ExampleFigure 4-12: PHY-Only Design Example with Two Backplane Ethernet and Two Line-Side (1G/10G)Ethernet ChannelsNative Hard PHYSTDRX PCSTX P

Page 12 - Native Transceiver PHYs

SDC Timing ConstraintsThe SDC timing constraints and approaches to identify false paths listed for Stratix V Native PHY IPapply to all other transceiv

Page 13

Migrating from Stratix IV to Stratix V Devices Overview...20-1Differences in Dynamic Reconfiguration for Stratix IV and St

Page 14

1G/10 Gbps Ethernet PHY IP Core52015.01.19UG-01080SubscribeSend FeedbackThe 1G/10 Gbps Ethernet PHY MegaCore® (1G/10GbE) function allows you to instan

Page 15 - Transceiver PHY Modules

Figure 5-1: Level Modules of the 1G/10GbE PHY MegaCore FunctionAltera Device with 10.3125+ Gbps Serial Transceivers1G/10Gb Ethernet PHY MegaCore Funct

Page 16 - Resetting the Transceiver PHY

Item DescriptionProduct ID 0106Vendor ID 6AF7Device Family SupportIP cores provide either final or preliminary support for target Altera device famili

Page 17

PHY Module Options ALMs M20K Memory Logic Registers1GbE/10GbE - 1GbE onlywith Sequencer400 0 7001GbE/10GbE - 1GbE/10GbEwith 15881000 4 20001GbE/10GbE

Page 18 - File Name Description

Parameter Name Options DescriptionEnable IEEE 1588 Precision TimeProtocolOn/Off When you turn this option On, the core includesa module in the PCS to

Page 19

Parameter Name Options DescriptionLink fail inhibit time for 10GbEthernet504 ms Specifies the time before link_status is set toFAIL or OK. A link fail

Page 20 - Unsupported Features

1G/10GbE PHY InterfacesFigure 5-2: 1G/10GbE PHY Top-Level Signalsxgmii_tx_dc[71:0]xgmii_tx_clkxgmii_rx_dc[71:0]xgmii_rx_clkgmii_tx_d[7:0]gmii_rx_d[7:0

Page 21 - Getting Started Overview

1G/10GbE PHY Clock and Reset InterfacesThis topic illustrates the 1G/10GbE PHY clock and reset connectivity and describes the clock and resetsignals.U

Page 22 - Design Flows

Table 5-6: Clock and Reset SignalsSignal Name Direction Descriptionrx_recovered_clk Output The RX clock which is recovered from the receiveddata. You

Page 23 - Specifying Parameters

Signal Name Direction Descriptionxgmii_tx_dc[71:0]Input XGMII data and control for 8 lanes. Each laneconsists of 8 bits of data and 1 bit of control.x

Page 24 - Simulate the IP Core

Introduction to the Protocol-Specific andNative Transceiver PHYs12015.01.19UG-01080SubscribeSend FeedbackThe Arria V, Cyclone V, and Stratix V support

Page 25 - 10GBASE-R PHY IP Core

Signal Name Direction Descriptionled_disp_errOutput Disparity error signal indicating a 10-bit runningdisparity error. Asserted for one rx_clkout_1gcy

Page 26

Table 5-9: RX XGMII Mapping to Standard SDR XGMII InterfaceThe 72-bit RX XGMII data bus format is different from the standard SDR XGMII interface. Thi

Page 27 - Arria V GT 10GBASE-R

Signal Name Direction Descriptionrx_hi_ber Output Asserted by the BER monitor block to indicate aSync Header high bit error rate greater than 10-4.pll

Page 28 - Transceiver Protocol

Signal Name Direction Descriptionrx_latency_adj_1g[21:0] Output When you enable 1588, this signal outputs the realtime latency in GMII clock cycles (1

Page 29

Signal Name Direction Descriptionmgmt_write Input Write signal. Active high.mgmt_read Input Read signal. Active high.mgmt_waitrequest Output When asse

Page 30

Addr Bit R/W Name Description0xB1 0 RO SEQ Link Ready When asserted, the sequencer is indicating thatthe link is ready.Related InformationAvalon Inter

Page 31

Table 5-15: PMA Registers - TX and RX Serial Data InterfaceThe following PMA registers allow you to customize the TX and RX serial data interfaceAddre

Page 32

Addr Bit AccessName Description0x821 RO HI_BER High BER status. When set to 1, the PCS is reporting ahigh BER. When set to 0, the PCS is not reporting

Page 33 - General Option Parameters

Addr Bit R/W Name Description0x945RW FD Full-duplex mode enable for the local device. Set to 1for full-duplex support.6 RW HD Half-duplex mode enable

Page 34

Addr Bit R/W Name Description0x955R FD Full-duplex mode enable for the link partner. This bitshould always be 1 because only full duplex issupported.6

Page 35

Figure 1-1: Transceiver PHY Top-Level ModulesTo MACTo HSSI PinsTransceiver PHY PMA PCSCustomized functionality for:10GBASE-R10GBASE-KR1G/10GBASE-RXAUI

Page 36

Address Bit R/W Name Description0xA80 RW tx_invpolarity When set to 1, the TX interface inverts the polarity of theTX data. Inverted TX data is input

Page 37 - 10GBASE-R PHY Interfaces

Figure 5-4: Block Diagram for Reconfiguration Example1G/10GbEthernetMACBackplane-KR or 1G/10Gb Ethernet PHY MegaCore FunctionBackplane-KR or 1G/10Gb E

Page 38 - 10GBASE-R PHY Data Interfaces

• Channel number—specifies the requested channel• Mode—specifies 1G or 10G mode for the corresponding channel2. Select a channel for reconfiguration a

Page 39

Example 5-1: Edits to a MIF to Remove PMA SettingsCreating a 1G/10GbE DesignHere are the steps you must take to create a 1G/10GbE design using this PH

Page 40

8. Generate a fractional PLL to create the 156.25 MHz XGMII clock from the 10G reference clock.9. Instantiate the PHY in your design based on the requ

Page 41

Signal Name Direction Descriptiontap_to_upd[2:0] Output Specifies the TX equalization tap to update tooptimize signal quality. The following encodings

Page 42

Signal Name Direction Descriptionmode_1g_10gbar Input This signal indicates the requested mode for thechannel. A 1 indicates 1G mode and a 0 indicates

Page 43

Figure 5-5: Level Modules of the 1G/10GbE PHY MegaCore FunctionAltera Device with 10.3125+ Gbps Serial Transceivers1G/10Gb Ethernet PHY MegaCore Funct

Page 44

Design ExampleFigure 5-6: PHY-Only Design Example with Two Backplane Ethernet and Two Line-Side (1G/10G)Ethernet ChannelsNative Hard PHYSTDRX PCSTX PM

Page 45

Simulation SupportThe 1G/10GbE and 10GBASE-KR PHY IP core supports the following Altera-supported simulators forthis Quartus II software release:• Mod

Page 46 - Reset Control and Power Down

Figure 1-2: Stratix V Transceiver Native PHY IP CorePLLsPMAaltera _xcvr_native_ <dev>Transceiver Native PHYTransceiverReconfigurationControllerR

Page 47

Acronym DefinitionPMA Physical Medium Attachment.PMD Physical Medium Dependent.SGMII Serial Gigabit Media Independent Interface.WAN Wide Area Network.

Page 48

XAUI PHY IP Core62015.01.19UG-01080SubscribeSend FeedbackThe Altera XAUI PHY IP Core implements the IEEE 802.3 Clause 48 specification to extend theop

Page 49

XAUI PHY Release InformationThis section provides information about this release of the XAUI PHY IP Core.Table 6-1: XAUI Release InformationItem Descr

Page 50

Device Family SupportStratix IV GX and GT devices-Soft or hard PCS andPMAFinalStratix V devices-Soft PCS + PMA FinalOther device families No supportDX

Page 51

a. General Parametersb. Analog Parametersc. Advanced Options Parameters5. Click Finish to generate your customized XAUI PHY IP Core.XAUI PHY General P

Page 52

Name Value DescriptionXAUI interface typeHard XAUISoft XAUIDDR XAUIIThe following 3 interface types are available:• Hard XAUI–Implements the PCS andPM

Page 53 - Signal Name Directio

Example 6-1 shows how to remove the restriction on logical lane 0 channel assignment in Stratix Vdevices by redefining the pma_bonding_master paramete

Page 54 - 1588 Delay Requirements

Name Value DescriptionPre-emphasis pre-tap setting 0–7 Sets the amount of pre-emphasis on theTX buffer. Available for Stratix IV.Invert the pre-emphas

Page 55

Name Value DescriptionReceiver static equalizer setting 0–15 This option sets the equalizer controlsettings. The equalizer uses a pass bandfilter. Spe

Page 56

XAUI PHY ConfigurationsThis section describes configurations of the IP core.The following figure illustrates one configuration of the XAUI IP Core. As

Page 57

Datapaths Stratix V Arria V Arria V GZ Cyclone VStandard:This datapath provides acomplete PCS and PMA forthe TX and RX channels. Youcan customize the

Page 58

XAUI PHY PortsThis section describes the ports for the IP core.Figure 6-3 illustrates the top-level signals of the XAUI PHY IP Core for the hard IP im

Page 59

The following figure illustrates the top-level signals of the XAUI PHY IP Core for the soft IP implementa‐tion for both the single and DDR rates.Figur

Page 60

For the DDR XAUI variant, the start of control character (0xFB) is aligned to either byte 0 or byte 5.Figure 6-6: Byte 0 Start of Frame Transmission E

Page 61

Table 6-7: SDR TX XGMII InterfaceSignal Name Direction Descriptionxgmii_tx_dc[71:0] OutputContains 4 lanes of data and control for XGMII. Each lanecon

Page 62

Figure 6-8: Clock Inputs and Outputs for IP Core with Hard PCSXAUI Hard IP Core4 x 3.125 Gbps serialHard PCStx_coreclkrx_cruclkpll_inclkcoreclkoutxgmi

Page 63 - 10GBASE-R Parameters

Signal Name Direction Descriptionxgmii_tx_clk Input The XGMII TX clock which runs at 156.25 MHz.Connect xgmii_tx_clk to xgmii_rx_clk to guarantee this

Page 64

XAUI PHY Optional PMA Control and Status InterfaceYou can access the state of the optional PMA control and status signals available in the soft IP imp

Page 65

neous value of a signal to ensure correct functioning of the XAUI PHY. In such cases, you can include therequired signal in the top-level module of yo

Page 66

Name Direction Descriptionrx_errdetect[7:0] Output Transceiver 8B/10B code group violation or disparityerror indicator. If either signal is asserted,

Page 67 - Reconfig

Table 6-14: Avalon-MM PHY Management InterfaceSignal Name Direction Descriptionphy_mgmt_clk InputAvalon-MM clock input.There is no frequency restricti

Page 68

PCSThe PCS implements part of the physical layer specification for networking protocols. Depending uponthe protocol that you choose, the PCS may inclu

Page 69

Word Addr Bits R/W Register Name Description0x022 [31:0] R pma_tx_pll_is_locked Bit[P] indicates that the TX CMU PLL (P) islocked to the input referen

Page 70

Word Addr Bits R/W Register Name Description0x061 [31:0] RW phy_serial_loopback Writing a 1 to channel <n> puts channel<n> in serial loopb

Page 71

Word Addr Bits R/W Register Name Description0x084[31:16] - Reserved -[15:8]Rpatterndetect[7:0]When asserted, indicates that theprogrammed word alignme

Page 72

Word Addr Bits R/W Register Name Description0x086[31:8] - Reserved -[7:4]R,stickyphase_comp_fifo_error[3:0]Indicates a RX phase compensation FIFOoverf

Page 73

Word Addr Bits R/W Register Name Description0x088[31:8] - Reserved -[7:4]R,stickyrmfifofull[3:0]When asserted, indicates that rate matchFIFO is full (

Page 74

• Transceiver Architecture in Stratix V DevicesXAUI PHY Dynamic Reconfiguration for Arria II GX, Cyclone IV GX,HardCopy IV GX, and Stratix IV GXThe Ar

Page 75 - 10BASE-KR PHY Interfaces

Example 6-2: Informational Messages for the Transceiver Reconfiguration InterfacePHY IP will require 8 reconfiguration interfaces for connection to th

Page 76

Table 6-17: Reconfiguration InterfaceSignal Name Direction Descriptionreconfig_to_xcvr [(<n>70)-1:0]Input Reconfiguration signals from the Trans

Page 77

Interlaken PHY IP Core72015.01.19UG-01080SubscribeSend FeedbackThe Altera Interlaken PHY IP Core implements Interlaken Protocol Specification, Rev 1.2

Page 78

The Interlaken PCS supports the following framing functions on a per lane basis:• Gearbox• Block synchronization• Metaframe generation and synchroniza

Page 79

The Transceiver PHY Reset Controller IP Core handles all reset sequencing of the transceiver to enablesuccessful operation. Because the Transceiver PH

Page 80

Parameterizing the Interlaken PHYThe Interlaken PHY IP Core is available when you select the Arria V GZ or Stratix V devices. Completethe following st

Page 81

Parameter Value DescriptionMetaframe length inwords5-8191Specifies the number of words in a metaframe. Thedefault value is 2048.Although 5 -8191 words

Page 82

Parameter Value DescriptionBase data rate1 × Lane rate2 × Lane rate3 × Lane rateThis option allows you to specify a Base data rate tominimize the numb

Page 83 - Daisy-Chain Interface Signals

Click on the appropriate link to specify the analog options for your device:Related Information• Analog Settings for Arria V GZ Devices on page 19-11•

Page 84

Interlaken PHY Avalon-ST TX InterfaceThis section lists the signals in the Avalon-ST TX interface.Table 7-4: Avalon-ST TX SignalsSignal Name Direction

Page 85

Signal Name Direction Descriptiontx_parallel_data<n>[65] InputWhen asserted, indicates that tx_parallel_data<n>[63:0] is valid and is read

Page 86

Signal Name Direction Descriptionmulti-lane configurations, the tx_datain_bp<n>signals must be logically Ored. The latency on thisAvalon-ST inte

Page 87

Signal Name Direction Descriptionpll_locked Output In multilane Interlaken designs, this signal is thebitwise AND of the individual lane pll_locked si

Page 88

Signal Name Direction Descriptionrx_parallel_data<n>[64]OutputWhen asserted, indicates that rx_parallel_data<n>[63:0] isvalid. When deasse

Page 89

Signal Name Direction Descriptionrx_parallel_data<n>[67]Output When asserted, indicates an RX FIFO overflow error.rx_parallel_data<n>[68]O

Page 90

Figure 1-3: Directory Structure for Generated Files<instance_name> _sim/synopsys - Simulation files for Synopsys simulation tools<project_di

Page 91

Signal Name Direction Descriptionrx_parallel_data<n>[70]OutputWhen asserted, indicates that the RX frame synchronizationstate machine has found

Page 92

Signal Name Direction Descriptionrx_dataout_bp<n> InputWhen asserted, enables reading of data from the RX FIFO. Thissignal functions as a read e

Page 93

Table 7-7: PLL InterfaceSignal Name Direction Descriptionpll_ref_clk InputReference clock for the PHY PLLs. Refer to the Lanerate entry in the Table 7

Page 94

Interlaken PHY Register Interface and Register DescriptionsThis section describes the register interface and register descriptions.The Avalon-MM PHY m

Page 95

Table 7-10: Interlaken PHY RegistersWord Addr Bits R/W Register Name DescriptionPMA Common Control and Status Registers0x022 [<p>-1:0] RO pma_tx

Page 96

Word Addr Bits R/W Register Name DescriptionThe Interlaken PHY IP requires the useof the embedded reset controller toinitiate the correct the reset se

Page 97

Word Addr Bits R/W Register Name Description0x065 [31:0] RW pma_rx_set_locktoref When set, programs the RX CDR PLL tolock to the reference clock. Bit

Page 98

Why Transceiver Dynamic ReconfigurationDynamic reconfiguration is necessary to calibrate transceivers to compensate for variations due to PVT.As silic

Page 99

Signal Name Direction Descriptionreconfig_from_xcvr[(<n>46)-1:0]Output Reconfiguration signals to the Transceiver ReconfigurationController. <

Page 100 - Bit R/W Name Description

PHY IP Core for PCI Express (PIPE)82015.01.19UG-01080SubscribeSend FeedbackThe Altera PHY IP Core for PCI Express (PIPE) implements physical coding su

Page 101

File Name Descriptionsv_xcvr_native.sv Defines the transceiver. It includes instantiations ofthe PCS and PMA modules and Avalon-MM PHYmanagement inter

Page 102

Figure 8-1: Gen3 PCI Express PHY (PIPE) with Hard IP PCS and PMA in Arria V GZ and Stratix V GXDevices PHY IP Core for PCI Express - Gen3Arria V GZ or

Page 103 - PMA Registers

• Stratix V Hard IP for PCI Express IP Core User Guide• Transceiver Configurations in Arria V GZ Devices or Transceiver Configurations in Stratix VDev

Page 104 - PCS Registers

Table 8-2: PHY IP Core for PCI Express General OptionsName Value DescriptionDevice familyStratix VArria V GZArria V GXArria V GTArria V SXArria V STSu

Page 105 - Creating a 10GBASE-KR Design

Name Value DescriptionGen1 and Gen2 PLL typeCMUATXYou can select either the CMU orATX PLL. The CMU PLL has a largerfrequency range than the ATX PLL.Th

Page 106 - Editing a 10GBASE-KR MIF File

• PHY Interface for the PCI Express Architecture PCI Express 3.0PHY for PCIe (PIPE) InterfacesThis section describes interfaces of the PHY IP Core for

Page 107 - Send Feedback

For more information about _hw.tcl files, refer to refer to the Component Interface Tcl Reference chapterin volume 1 of the Quartus II Handbook.Relate

Page 108 - Design Example

Signal Name Direction Descriptiontx_blk_start Input For Gen3, specifies start block byte location for TXdata in the 128-bit block data. Used when thei

Page 109 - Acronyms

Signal Name Direction Descriptionpipe_g3_txdeemph[17:0] InputFor Gen3, selects the transmitter de-emphasis. The18 bits specify the following coefficie

Page 110 - Subscribe

Signal Name Direction Descriptionrx_eidleinfersel[3<n>-1:0] InputWhen asserted high, the electrical idle state isinferred instead of being ident

Page 111 - Item Description

PHY for PCIe (PIPE) Output Data to the PHY MACThis section describes the PIPE output signals. These signals are driven from the PCS to the PHY MAC.Thi

Page 112 - Device Family Support

ContentsIntroduction to the Protocol-Specific and Native Transceiver PHYs... 1-1Protocol-Specific Transceiver PHYs...

Page 113 - 1GbE Parameters

When you specify multiple .spd files, the ip-make-simscript utility generates a single simulation scriptcontaining all required simulation information

Page 114 - Speed Detection Parameters

Signal Name Direction Descriptionpipe_rx_data_valid Output For Gen3, this signal is deasserted by the PHY to instructthe MAC to ignore pipe_rxdata for

Page 115 - PHY Analog Parameters

PHY for PCIe (PIPE) ClocksThis section describes the clock ports.Table 8-6: Clock PortsSignal Name Direction Descriptionpll_ref_clk Input This is the

Page 116 - 1G/10GbE PHY Interfaces

Add the following command to force Timequest analysis at 62.5 MHz.create_generated_clock -name clk_g1 -source [get_ports {pll_refclk}] \-divide_by 8

Page 117 - 2015.01.19

Table 8-9: Transceiver Differential Serial InterfaceSignal Name Direction Descriptionrx_serial_data[<n>-1:0] Input Receiver differential serial

Page 118 - 1G/10GbE PHY Data Interfaces

Figure 8-5: PCI Express PIPE IP Core Top-Level ModulesSystem InterconnectFabricto EmbeddedControllerto ReconfigurationControllerClocks Tx Data, DatakP

Page 119

Signal Name Direction Descriptionphy_mgmt_write Input Write signal.phy_mgmt_read Input Read signal.phy_mgmt_waitrequest Output When asserted, indicate

Page 120

Word Addr Bits R/W Register Name Description0x044[31:0] RW reset_fine_controlYou can use the reset_fine_controlregister to create your own reset seque

Page 121 - Serial Data Interface

Word Addr Bits R/W Register Name Description0x064 [31:0] RW pma_rx_set_locktodata When set, programs the RX CDR PLL tolock to the incoming data. Bit &

Page 122

Word Addr Bits R/W Register Name Description0x083[31:6] RW Reserved —[5:1] RW tx_bitslipboundary_selectSets the number of bits the TX block needsto sl

Page 123 - Register Interface Signals

Word Addr Bits R/W Register Name Description0x086[31:20]R Reserved —[19:16]R rx_rlvWhen set, indicates a run length violation.From block: Word aligner

Page 124 - Addr Bit R/W Name Description

Getting Started Overview22015.01.19UG-01080SubscribeSend FeedbackThis chapter provides a general overview of the Altera IP core design flow to help yo

Page 125

“Section 4.2.3 Link Equalization Procedure for 8.0 GT/s Data Rate” in the PCI Express Base Specification,Rev. 3.0 provides detailed information about

Page 126

The tuning sequence typically includes the following steps:1. The Endpoint receives the starting presets from the Phase 2 training sets sent by the Ro

Page 127 - 1G/10 GbE GMII PCS Registers

some instances you may want to override the specified four-stage link equalization procedure todynamically tune PMA settings. Follow these steps to ov

Page 128

Table 8-12: Reconfiguration Interface SignalsSignal Name Direction Descriptionreconfig_to_xcvr [<r>70-1:0]Input Reconfiguration signals from the

Page 129

Custom PHY IP Core92015.01.19UG-01080SubscribeSend FeedbackThe Altera Custom PHY IP Core is a generic PHY that you can customize for use in Arria V, C

Page 130

Figure 9-1: Custom PHY IP CoreDeterministic Latency PHY IP CoreArria V, Cyclone V, or Stratix V FPGAPCS:Phase Comp FIFOsByte Serializer/Deserializer8B

Page 131 - Cntl &

Table 9-2: Custom PHY IP Core Performance and Resource Utilization—Stratix V GT DeviceChannels Combinational ALUTs Logic Registers (Bits)1 142 1544 24

Page 132 - Editing a 1G/10GbE MIF File

Name Value DescriptionBonding modeNon-bonded or x1Bonded or xNfb_compensationSelect Non-bonded or x1 to use separate clocksources for each channel. (T

Page 133 - Creating a 1G/10GbE Design

Name Value DescriptionPCS-PMA interface width 8, 10, 16, 20 The PCS-PMA interface width depends on theFPGA fabric transceiver interface width and whet

Page 134

Name Value DescriptionBase data rate1 × Data rate2 × Data rate4 × Data rateThe base data rate is the frequency of the clock inputto the PLL. Select a

Page 135

Related Information• Altera• Altera Licensing• Altera Software Installation and LicensingDesign FlowsThis section describes how to parameterize Altera

Page 136

Name Value DescriptionEnable embedded resetcontrolOn/Off When On, the automatic reset controller initiates thereset sequence for the transceiver. When

Page 137

Table 9-5: Word Aligner OptionsName Value DescriptionWord alignment modeManualIn this mode you enable the word alignmentfunction by asserting rx_enapa

Page 138

Name Value DescriptionEnable run length violationcheckingOn/Off If you turn this option on, you can specify therun length which is the maximum legalnu

Page 139 - TimeQuest Timing Constraints

reference clock frequency is greater than the local receiver reference clock frequency. It inserts SKPsymbols or ordered-sets when the local receiver

Page 140 - WAN Wide Area Network

Table 9-8: 8B/10B OptionsName Value DescriptionEnable 8B/10B decoder/encoder On/Off Enable this option if your applicationrequires 8B/10B encoding and

Page 141 - XAUI PHY IP Core

Table 9-9: Byte Order OptionsName Value DescriptionEnable byte ordering block On/Off Turn this option on if your application usesserialization to crea

Page 142 - XAUI PHY Release Information

Name Value DescriptionEnable byte ordering blockmanual controlOn/Off Turn this option on to choose manual controlof byte ordering. This option creates

Page 143 - Parameterizing the XAUI PHY

Name Value DescriptionByte ordering pad pattern 00000000 Specifies the pad pattern that is inserted toalign the SOP. Enter the following size padpatte

Page 144 - XAUI PHY General Parameters

Name Value DescriptionNumber of reference clocks 1-5 Specifies the number of input referenceclocks. More than one reference clock may berequired if yo

Page 145 - Name Value Description

Name Value DescriptionEnable channel interface On/Off Turn this option on to enable PLL anddatapath dynamic reconfiguration. When youselect this optio

Page 146 - XAUI PHY Analog Parameters

MegaWizard Plug-In Manager FlowThis section describes how to specify parameters and simulate your IP core with the MegaWizard Plug-InManager.The MegaW

Page 147

Parameter Name GIGE-1.25 Gbps GIGE-2.50 GbpsPCS-PMA Interface Width 10 10Data rate 1250 Mbps 3125 MbpsInput clock frequency 62.5 MHz 62.5 MHzEnable TX

Page 148 - Advanced Options Parameters

Parameter Name GIGE-1.25 Gbps GIGE-2.50 GbpsEnable 8B/10B decoder/encoder On OnEnable manual disparity control Off OffCreate optional 8B/10B status po

Page 149 - XAUI PHY Configurations

InterfacesFigure 9-2: Custom PHY Top-Level SignalsThe variables in Figure 9–2 represent the following parameters:• <n>—The number of lanes• <

Page 150 - XAUI PHY Ports

Table 9-12: Avalon-ST TX Interface SignalsSignal Name Direction Descriptiontx_parallel_data[(<n>43:0]Input This is TX parallel data driven from

Page 151 - XAUI PHY Data Interfaces

Table 9-13: Location of Valid Data Words for tx_parallel_data for Various FPGA Fabric to PCSParameterizationsThe following table shows the valid 11-bi

Page 152 - SDR XGMII TX Interface

Table 9-14: Avalon-ST RX Interface SignalsThese signals are driven from the PCS to the MAC. This is an Avalon source interface.Signal Name Direction D

Page 153 - SDR XGMII RX Interface

Signal Name Direction Descriptionrx_clkout[< n >-1:0] Output This is the clock for the RX parallel data sourceinterface.rx_datak[< n >(<

Page 154 - Soft PCS

Table 9-17: Clock SignalsSignal Name Direction Descriptionpll_ref_clk Input Reference clock for the PHY PLLs. Frequencyrange is 50-700 MHz.rx_coreclki

Page 155

Signal Name Direction Signal Namerx_errdetect[<n>(<w>/<s>)-1:0] Output When asserted, indicates that areceived 10-bit code group has

Page 156

Signal Name Direction Signal Namerx_rmfifodatainserted[<n>-1:0] Output When asserted, indicates that the RXrate match block inserted an ||R||col

Page 157 - Name Direction Description

Note: The Finish button may be unavailable until all parameterization errors listed in the messageswindow are corrected.8. Click Yes if you are prompt

Page 158

Signal Name Direction Descriptiontx_cal_busy[<n>-1:0] Output When asserted, indicates that the initial TXcalibration is in progress. It is also

Page 159

Figure 9-4: Custom PHY IP CoreSystem InterconnectFabricto EmbeddedControllerCustom PHY PCS and PMACustom PHY IP CoreResetsStatusControlSAvalon-MMContr

Page 160

Signal Name Direction Descriptionphy_mgmt_readdata[31:0] Output Output data.phy_mgmt_write Input Write signal.phy_mgmt_read Input Read signal.phy_mgmt

Page 161

WordAddrBits R/W Register Name Description0x042 [1:0]W reset_control (write) Writing a 1 to bit 0 initiates a TX digitalreset using the reset controll

Page 162

WordAddrBits R/W Register Name Description[2] RW reset_rx_analog Writing a 1 causes the internal RX analogreset signal to be asserted, resetting theRX

Page 163

Custom PCSTable 9-25: Custom PCSWordAddrBits R/W Register Name Description0x080 [31:0] RW Lane or group number Specifies lane or group number forindir

Page 164

WordAddrBits R/W Register Name Description0x085[3] RW rx_bitslip Every time this register transitions from 0to 1, the RX data slips a single bit.To bl

Page 165

Example 9-1: Informational Messages for the Transceiver Reconfiguration InterfacePHY IP will require 2 reconfiguration interfaces for connection to th

Page 166

Low Latency PHY IP Core102015.01.19UG-01080SubscribeSend FeedbackThe Altera Low Latency PHY IP Core receives and transmits differential serial data, r

Page 167

Device Family SupportIP cores provide either final or preliminary support for target Altera device families. These terms have thefollowing definitions

Page 168 - Interlaken PHY IP Core

10GBASE-R PHY IP Core32015.01.19UG-01080SubscribeSend FeedbackThe Altera 10GBASE-R PHY IP Core implements the functionality described in IEEE Standard

Page 169

Implementa‐tionNumber ofLanesSerializationFactorWorst-CaseFrequencyCombinationalALUTsDedicatedRegistersMemory Bits6 Gbps (8Gbpsdatapath)1 32 or 40 607

Page 170 - Parameter Value Description

• General Options Parameters on page 10-4• Additional Options Parameters on page 10-7• PLL Reconfiguration Parameters on page 10-10• Low Latency PHY A

Page 171

Name Value DescriptionBonding mode ×N fb_compensationSelect ×N to use the same clock source forup to 6 channels in a single transceiver bank,resulting

Page 172

Name Value DescriptionData rate DevicedependentSpecifies the data rate in Mbps. Refer toStratix V Device Datasheet for the data rateranges of datapath

Page 173 - Interlaken PHY Interfaces

FPGA Fabric -Transceiver InterfaceWidthPCS-PMA Interface Widthtx_clkout and rx_clkout frequencyStandard Datapath10G Datapath50 — 40 data rate/50 (6)64

Page 174

The following table describes the options available on the Additional Options tab:Table 10-5: Additional OptionsName Value DescriptionEnable tx_corecl

Page 175

Name Value DescriptionEnable TX bitslip On/Off The bit slip feature allows you to slip thetransmitter side bits before they are sent to thegearbox. Th

Page 176

Name Value DescriptionAvalon data interfaces On/Off When you turn this option On, the order ofsymbols is changed. This option is typicallyrequired if

Page 177

Name Value DescriptionNumber of TX PLLs 1–4 Specifies the number of TX PLLs that can beused to dynamically reconfigure channels torun at multiple data

Page 178

TX PLL (0–3)(Refer to Low Latency PHY General Options for a detailed explanation of these parameters.)Reference clock frequency Variable Specifies the

Page 179

To make the most effective use of this soft PCS and PMA configuration for Stratix IV GT devices, you cangroup up to four channels in a single quad and

Page 180

Related InformationAnalog Parameters Set Using QSF Assignments on page 19-1Low Latency PHY InterfacesThe following figure illustrates the top-level si

Page 181 - Interlaken PHY PLL Interface

Table 10-7: Avalon-ST interface Signal Name Direction Descriptiontx_parallel_data[<n><w>-1:0]Input This is TX parallel data driven from t

Page 182

Optional Status InterfaceThe following table describes the signals that comprise the optional status interface:Table 10-9: Optional Status Interface S

Page 183

Signal Name Direction Descriptionpll_ref_clkInput Reference clock for the PHY PLLs. Thefrequency range is 60–700 MHz.Optional Reset Control and Status

Page 184

Register Interface and Register DescriptionsThe Avalon-MM PHY management interface provides access to the Low Latency PHY PCS and PMAregisters that co

Page 185

Signal Name Direction Descriptionphy_mgmt_writedata[31:0]Input Input data.phy_mgmt_readdata[31:0]Output Output data.phy_mgmt_writeInput Write signal.p

Page 186

Word Addr Bits R/W Register Name DescriptionReset Control Registers–Automatic Reset Controller0x063 [31:0] Rpma_rx_signaldetectWhen channel <n>

Page 187

Controller IP Cores. Doing so causes a Fitter error. For more information, refer to Transceiver Reconfigu‐ration Controller to PHY IP Connectivity.The

Page 188

Related InformationSDC Timing Constraints of Stratix V Native PHY on page 12-74This section describes SDC examples and approaches to identify false ti

Page 189

Deterministic Latency PHY IP Core112015.01.19UG-01080SubscribeSend FeedbackDeterministic latency enables accurate delay measurements and known timing

Page 190

Figure 3-3: 10GBASE-R PHY IP Core In Arria V GT DevicesTransceiverReconfiguration ControllerDataWiringSoft PCSTX PMAPMARX PMA & CDRCMUPLLResetCont

Page 191

Figure 11-1: Deterministic Latency PHY IP CoreDeterministic Latency PHY IP CoreArria V, Cyclone V, or Stratix V FPGAPCS:Phase Comp FIFOsByte Serialize

Page 192

Data Rate (Mbps)Base Data Rate (Mbps) Clock Divider2457.6 4915.2 23072.0 6144.0 24915.2 4915.2 16144.0 6144.0 1Note: You can use PMA Direct mode in th

Page 193

Figure 11-2: Achieving Deterministic Latency for the TX and RX DatapathsThe TX and RX Phase Compensation FIFOs always operate in register mode.TX Data

Page 194

Example 11-1: For RERX _latency_ RE = <R X PCS latency in parallel clock cycles > +(<RX PMA latency in UI > + < TX_latenc

Page 195

Example 11-4: Total Delay UncertaintyRound trip delay estimates are subject to process, voltage, and temperature (PVT) variation.tRXCL K _P hase_detec

Page 196

PCS Datapath Width RX PhaseComp FIFOByteOrderingDeserial‐izer8B/10B WordAligner (10)(9)Total RX ParallelClock Cycles (9)(10)16 bits 1.0 1.0 1.0 1.0 5.

Page 197

Parameterizing the Deterministic Latency PHYThis section provides a list of steps on how to configure Deterministic Latency PHY1. Under Tools > IP

Page 198 - Preset C

Name Value DescriptionData rate Device Dependent If you select a data rate that is not supported by theconfiguration you have specified, the MegaWizar

Page 199

Serial Data Rate (Mbps)Channel Width (FPGA-PCS Fabric)Single-Width Double-Width8-Bit 16-Bit 16-Bit 32-Bit1228.8 Yes Yes Yes Yes2457.6 No Yes Yes Yes30

Page 200

Name Value DescriptionWord alignment modeDeterministiclatency statemachineDeterministic latency state machine–In this mode,the RX word aligner automat

Page 201 - PHY for PCIe (PIPE) Clocks

Figure 3-4: 10GBASE-R PHY IP Core In Arria V GZ DevicesDataWiringPLD-PCS & Duplex PCS PCS-PMAPCSTX PMAPMARX PMA & CDRGenericPLLResetController

Page 202 - Direction Signal Name

Name Value DescriptionWord alignment mode Manual Manual–In this mode, the RX word aligner parses theincoming data stream for a specific alignmentchara

Page 203

Name Value DescriptionEnable embedded resetcontrollerOn/ Off When you turn this option On, the embedded resetcontroller handles reset of the TX and RX

Page 204 - Hard PCS and PMA

Name Value DescriptionNumber of reference clocks 1-5 Specifies the number of input reference clocks.More than one reference clock may be required ifyo

Page 205

Name Value DescriptionEnable channel interface On/Off Turn this option on to enable PLL and datapathdynamic reconfiguration. When you select thisoptio

Page 206

Figure 11-3: Deterministic Latency PHY Top-Level Signalstx_parallel_data[< n><w>-1>:0]tx_clkout[<n>-1:0]tx_datak[(<n>(<w

Page 207

Table 11-9: Avalon-ST TX InterfaceThe following table describes the signals in the Avalon-ST input interface. These signals are driven from the MACto

Page 208

Table 11-11: Avalon-ST RX InterfaceThe following table describes the signals in the Avalon-ST output interface. These signals are driven from the PCSt

Page 209

RX Data Word Descriptionrx_parallel_data[10] Word Aligner / synchronization statusrx_parallel_data[11] Disparity errorrx_parallel_data[12] Pattern det

Page 210 - Phase 2 (Optional)

Optional TX and RX Status Interface for Deterministic Latency PHYThis section describes the optional TX and RX status interface settings for the Deter

Page 211 - Phase 3 (Optional)

Signal Name Direction Signal Namerx_is_lockedtoref [(<n>(<d>/<s>)-1:0]Output Asserted when the receiver CDR is locked tothe input re

Page 212

Figure 3-5: 10GBASE-R PHY IP Core In Stratix V DevicesDataWiringPLD-PCS & Duplex PCS PCS-PMAPCSTX PMAPMARX PMA & CDRGenericPLLResetControllerP

Page 213

Signal Name Direction Descriptionrx_cal_busy [<n>-1:0] Output When asserted, indicates that theinitial RX calibration is in progress. Itis also

Page 214 - Custom PHY IP Core

Figure 11-4: Deterministic Latency PHY IP CoreSystem InterconnectFabricSystem InterconnectFabricDeterministic PHY PCS and PMADeterministic PHY IP Cor

Page 215

Signal Name Direction Descriptionphy_mgmt_waitrequest Output When asserted, indicates that the Avalon-MM slaveinterface is unable to respond to a read

Page 216 - Parameterizing the Custom PHY

Word Addr Bits R/W Register Name Description0x044[31:0]RW reset_fine_control You can use the reset_fine_control register to create your ownreset seque

Page 217

Word Addr Bits R/W Register Name Description0x067 [31:0] RO pma_rx_is_lockedtoref When asserted, indicates that the RXCDR PLL is locked to the referen

Page 218

Word Addr Bits R/W Register Name Description0x085[31:4] RW pcs8g_rx_wa_control Reserved.[3] RW rx_bitslip Every time this register transitionsfrom 0 t

Page 219

Table 11-19: Reconfiguration InterfaceThis table lists the signals in the reconfiguration interface. This interface uses the Avalon-MM PHY Managementi

Page 220 - Word Alignment Parameters

Figure 11-5: Channel Placement and Available Channels in Arria V DevicesGXB_R0GXB_R1GXB_L0GXB_L1GXB_R2GXB_L2Devices AvailableNumber of Channels Per Ba

Page 221

Related InformationSDC Timing Constraints of Stratix V Native PHY on page 12-74This section describes SDC examples and approaches to identify false ti

Page 222 - Rate Match FIFO Parameters

Stratix V Transceiver Native PHY IP Core122015.01.19UG-01080SubscribeSend FeedbackThe Stratix V Transceiver Native PHY IP Core provides direct access

Page 223

Backplane Ethernet 10GBASE-KR PHY IP Core with Early Access FECOption...

Page 224 - Byte Order Parameters

Table 3-2: Latency for TX and RX PCS and PMA Stratix V DevicesPCS (Parallel Clock Cycles)PMA (UI)32-bit PMA Width 40-bit PMA WidthMinimum Maximum Mini

Page 225

Figure 12-1: Stratix V Native Transceiver PHY IP CorePLLsPMAaltera _xcvr_native_ <dev>Transceiver Native PHYTransceiverReconfigurationController

Page 226

Performance and Resource Utilization for Stratix V Native PHYThis section describes the performance resource utilization for Stratix V native PHY.Beca

Page 227

Parameterizing the Stratix V Native PHYThis section provides a list of instructions on how to configure the Stratix V Native PHY IP coreComplete the f

Page 228

Name Range DescriptionNumber of data channels DeviceDependentSpecifies the total number of data channels in eachdirection. From 1-32 channels are supp

Page 229 - Presets for Ethernet

PMA Parameters for Stratix V Native PHYThis section describes the PMA parameters for the Stratix V native PHY.Table 12-3: PMA OptionsThe following tab

Page 230

TX PMA ParametersTable 12-4: TX PMA ParametersThe following table describes the TX PMA options you can specify.For more information about the TX CMU,

Page 231

Table 12-5: TX PLL ParametersThe following table describes how you can define multiple TX PLLs for your Native PHY. The Native PHY GUIprovides a separ

Page 232 - Interfaces

RX CDR OptionsTable 12-6: RX PMA ParametersThe following table describes the RX CDR options you can specify. For more information about the CDRcircuit

Page 233

PMA Optional PortsTable 12-7: RX PMA ParametersThe following table describes the optional ports you can include in your IP Core. The QPI interface imp

Page 234 - Configuration Bus Used Bits

Parameter Range DescriptionEnable rx_clkslip port On/Off When you turn this option On, the rx_clkslipcontrol input port is enabled. The deserializer s

Page 235

Device Family SupportArria V ST devices-Soft PCS and Hard PMA FinalArria V GZ FinalStratix IV GT devices–Soft PCS and Hard PMA FinalStratix V devices–

Page 236 - Clock Interface

The following tables lists the bits used for all FPGA fabric to PMA interface widths. Regardless of theFPGA Fabric Interface Width selected, all 80 bi

Page 237 - Optional Status Interface

Standard PCS Parameters for the Native PHYThis section shows the complete datapath and clocking for the Standard PCS and defines the parametersavailab

Page 238

Table 12-11: General and Datapath ParametersThe following table describes the general and datapath options for the Standard PCS.Parameter Range Descri

Page 239

Phase Compensation FIFOThe phase compensation FIFO assures clean data transfer to and from the FPGA fabric by compensatingfor the clock phase differen

Page 240

Parameter Range DescriptionEnable RX byte ordering On/Off When you turn this option On, the PCSincludes the byte ordering block.Byte ordering control

Page 241 - Custom PHY PCS and PMA

Parameter Range DescriptionEnable rx_std_byteorder_ena port On/Off Enables the optional rx_std_byte_order_ena control input port. When this signal isa

Page 242 - Custom PHY IP Core Registers

Table 12-14: 8B/10B Encoder and Decoder ParametersParameter Range DescriptionEnable TX 8B/10B encoder On/Off When you turn this option On, the PCSincl

Page 243 - Reset Controls –Manual Mode

When you enable the simplified data interface and enable the rate match FIFO status ports, the rate matchFIFO bits map to the high-order bits of the d

Page 244

Status Condition Protocol Mapping of Status Flags to RX Data ValueEmptyPHY IP Core for PCIExpress (PIPE)Basic double widthRXD[62:62] = rx_rmfifostatus

Page 245 - Custom PCS

Status Condition Protocol Mapping of Status Flags to RX Data ValueDeletionBasic double widthSerial RapidIO double widthRXD[62:62] = rx_rmfifostatus[1:

Page 246 - Dynamic Reconfiguration

Table 3-6: 10GBASE-R PHY Performance and Resource Utilization—Arria V GT DeviceChannels ALMs Primary LogicRegistersSecondary LogicRegistersMemory 10K1

Page 247

Parameter Range DescriptionRX word aligner modebit_slipsync_smmanualSpecifies one of the following 3 modes for theword aligner:• Bit_slip : You can us

Page 248 - Low Latency PHY IP Core

Parameter Range DescriptionEnable rx_std_wa_patternalign port On/Off Enables the optional rx_std_wa_patterna-lign control input port. A rising edge on

Page 249

Parameter Range DescriptionEnable rx_std_bitrev_ena port On/Off When you turn this option On, asserting rx_std_bitrev_ena control port causes the RXda

Page 250

PRBS VerifierYou can use the PRBS pattern generators for verification or diagnostics. The pattern generator blockssupport the following patterns:• Pse

Page 251 - General Options Parameters

PCS-PMA Width8-Bit 10-Bit 16-Bit 20-BitPRBS-10XPRBS 15X X X XPRBS 23X X XPRBS 31X X X XUnlike the 10G PRBS verifier, the Standard PRBS verifier uses t

Page 252

PCS-PMA Width PRBS Patterns PRBS Pattern Select Word Aligner Size Word Aligner Pattern20-bitPRBS 7 3’b000 3’b100 0x0000043040PRBS 23 3’b001 3’b110 0x0

Page 253

Offset OffsetBits R/W Name Description0xA3 [15:0] R/W Word Aligner Pattern[15:0]Stores the least significant 16 bitsfrom the word aligner pattern assp

Page 254 - Additional Options Parameters

10G PCS Parameters for Stratix V Native PHYThis section shows the complete datapath and clocking for the 10G PCS and defines parameters availablein th

Page 255

Table 12-23: General and Datapath ParametersParameter Range Description10G PCS protocol modebasicinterlakensfisteng_baserteng_1588teng_sdiSpecifies th

Page 256

Table 12-24: 10G TX FIFO ParametersParameter Range DescriptionTX FIFO ModeInterlakenphase_compregisterSpecifies one of the following 3 modes:• interla

Page 257

General Option ParametersThis section describes general parameters.This section describes the 10GBASE-R PHY parameters, which you can set using the Me

Page 258 - (Refer to

Parameter Range DescriptionEnable tx_10g_fifo_pfull port On/Off When you turn this option On , the 10GPCS includes the active high tx_10g_fifo_pfull p

Page 259 - Channel Interface

Table 12-25: 10G RX FIFO ParametersParameter Range DescriptionRX FIFO ModeInterlakenclk_compphase_compregisterSpecifies one of the following 3 modes:•

Page 260 - Low Latency PHY Interfaces

Parameter Range DescriptionEnable RX FIFO control word deletion(Interlaken)On/Off When you turn this option On , the rx_control_del parameter enables

Page 261

Parameter Range DescriptionEnable rx_10g_fifo_rd_en port(Interlaken)On/Off When you turn this option On, the 10GPCS includes the rx_10g_fifo_rd_eninpu

Page 262

Parameter Range Descriptionteng_tx_framgen_burst_enable On/Off When you turn this option On, theframe generator burst functionality isenabled.Enable t

Page 263

Table 12-27: Interlaken Frame Synchronizer ParametersParameter Range Descriptionteng_tx_framsync_enable On/Off When you turn this option On, the 10GPC

Page 264 - PMA and Light-Weight PCS

Parameter Range DescriptionEnable rx_10g_frame_diag_err port On/Off When you turn this option On, the 10GPCS includes the rx_10g_frame_diag_err output

Page 265

Table 12-29: 10GBASE-R BER Checker ParametersParameter Range DescriptionEnable rx_10g_highber port(10GBASE-R)On/Off When you turn this option On, the

Page 266

Scrambler and Descrambler ParametersTX scrambler randomizes data to create transitions to create DC-balance and facilitate CDR circuits basedon the x5

Page 267

Block SynchronizationThe block synchronizer determines the block boundary of a 66-bit word for the 10GBASE-R protocol or a67-bit word for the Interlak

Page 268

Name Value DescriptionPCS / PMA interface width3240For Stratix V and Arria V GZ devices only:Specifies the data interface width between the 10GPCS and

Page 269

Parameter Range DescriptionEnable TX data bitslip On/Off When you turn this option On, the TXgearbox operates in bitslip mode.Enable RX data polarity

Page 270 - Data Rate (Mbps)

Test Enable bits. The following table lists the offsets and registers of the pattern generators and verifiersin the 10G PCS.Note: The 10G PRBS generat

Page 271

Offset Bits R/W Name Description0x135[15:12] R/WSquare Wave PatternSpecifies the number of consecutive 1sand 0s. The following values areavailable: 1,

Page 272

Offset Bits R/W Name Description0x15E[14] R/WRX PRBS 7 EnableEnables the PRBS-7 polynomial in thereceiver.[13] R/WRX PRBS 23 EnableEnables the PRBS-23

Page 273 - PDI O >R X_ deser

In addition you have the following options:• You can toggle the Data Pattern Select bit switch between two data patterns.• You can change the value of

Page 274

Figure 12-5: Stratix V Native PHY Common Interfacestx_pll_refclk[<r>-1:0]tx_pma_clkout[<n>-1:0]rx_pma_clkout[<n>-1:0]rx_cdr_refclk[&

Page 275

Name Direction Descriptionpll_powerdown[ <p> -1:0] Input When asserted, resets the TX PLL. Activehigh, edge sensitive reset signal. By default,t

Page 276

Name Direction Descriptiontx_parallel_data[ <n> 64-1:0] Input PCS TX parallel data. Used when you enableeither the Standard or 10G datapath. For

Page 277 - 8-Bit 16-Bit 16-Bit 32-Bit

Name Direction Descriptiontx_pma_qpipullup Input Control input port for Quick Path Intercon‐nect (QPI) applications. When asserted, thetransmitted pul

Page 278

Name Direction Descriptionrx_set_locktoref[ <n> -1:0] Input When asserted, programs the RX CDR tomanual lock to reference mode in whichyou contr

Page 279

Name Value DescriptionEnable embedded reset control On/Off When On, the automatic reset controller initiatesthe reset sequence for the transceiver. Wh

Page 280

Table 12-39: Signal Definitions for tx_parallel_data with and without 8B/10B EncodingThe following table shows the signals within tx_parallel_data tha

Page 281

RX Data Word Descriptionrx_parallel_data[14:13] The following encodings are defined:• 2’b00: Normal data• 2’b01: Deletion• 2’b10: Insertion (or Underf

Page 282

Figure 12-6: Standard PCS Interfacestx_std_clkout[<n>-1:0] rx_std_clkout[<n>-1:0]tx_std_coreclkin[<n>-1:0]rx_std_coreclkin[<n>

Page 283

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptionrx_std_pcfifo_empty[<n>-1:0]Output Yes RX phase compensation FIFO statusempty

Page 284

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptionrx_std_polinv[<n>-1:0]Input No Polarity inversion for the 8B/10B decoder,When

Page 285 - TX Data Word Description

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptionrx_std_bitslipboun-darysel[5<n>-1:0]Output No This signal operates when the w

Page 286 - RX Data Word Description

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptionrx_std_prbs_errOutputYesWhen asserted, indicates an error onlyafter the rx_std_prbs

Page 287

Figure 12-7: Stratix V Native PHY 10G PCS InterfacesClocksFrameGeneratorTX FIFORX FIFOBlockSynchronizerFrameSynchronizerBit-SlipGearboxFeature64B/66BB

Page 288

Name Direction Descriptiontx_10g_clkout[<n>-1:0]Output TX parallel clock output for the TX PCS.rx_10g_clkout[<n>-1:0]Output RX parallel cl

Page 289

Name Direction Descriptiontx_10g_control[9<n>-1:0] (continued)• [2]: Inversion signal, must always be set to 1'b0.• [1]: Sync Header, 1 ind

Page 290

pma_bonding_master to the 10GBASE-R instance name. You must substitute the instance namefrom your design for the instance name shown in quotation mark

Page 291 - Deterministic PHY IP Core

Name Direction Descriptiontx_10g_fifo_pfull[<n>-1:0]Output When asserted, indicates that the TX FIFO is partiallyfull. Synchronous to tx_10g_cor

Page 292

Name Direction Descriptionrx_10g_control[10<n>-1:0]OutputRX control signals for the Interlaken, 10GBASE-R, and Basicprotocols. These are synchro

Page 293

Name Direction Descriptionrx_10g_control[10<n>-1:0] (continued)Basic mode: 67-bit mode with Block Sync:• [9]: Active-high synchronous status sig

Page 294

Name Direction Descriptionrx_10g_fifo_full[<n>-1:0]Output Active high RX FIFO full flag. Synchronous to rx_10g_clkout. This signal is pulse-stre

Page 295

Name Direction Descriptiontx_10g_diag_status[2<n>-1:0]Input For the Interlaken protocol, provides diagnostic statusinformation reflecting the la

Page 296

Name Direction Descriptionrx_10g_frame_sync_err[<n>-1:0]Output For the Interlaken protocol, asserted to indicate asynchronization Control Word e

Page 297

Name Direction Descriptionrx_10g_blk_lock[<n>-1:0]Output Active-high status signal that is asserted when blocksynchronizer acquires block lock.

Page 298

Name Direction Descriptionrx_10g_prbs_errOutput When asserted, indicates an error only after the rx_10g_prbs_done signal has been asserted. This signa

Page 299

Figure 12-8: x6 and xN Routing of ClocksTransceiver BankTransceiver Bank×N_top Clock Line (1)×6 Clock Lines (1)×6 Clock Lines (1)×N_bottomClock Lin

Page 300

Bonded clocks allow you to use the same PLL for up to 13 contiguous channels above and below the TXPLL for a total of 27 bonded channels as the follow

Page 301 - Parameter Presets

Name Value DescriptionReceiver common modevoltageTri-State0.82V1.1vSpecifies the RX common mode voltage.Receiver terminationresistanceOCT_85_OHMSOCT_1

Page 302 - Name Range Description

Figure 12-9: Channel Span for xN Bonded Channels131211TransceiverBank 4ATXPLL1098765TransceiverBank 343211TransceiverBank 2Up to 7channelsabove &b

Page 303

You can use the tx_clkout from any channel to transfer data, control, and status signals between theFPGA fabric and the transceiver channels. Using th

Page 304 - Parameter Range Description

SDC Timing Constraints of Stratix V Native PHYThis section describes SDC examples and approaches to identify false timing paths.The Quartus II softwar

Page 305

Example 12-2: Using the max_delay Constraint to Identify Asynchronous InputsYou can use the set_max_delay constraint on a given path to create a const

Page 306

Example 12-5: Overriding Logical Channel 0 Channel Assignment Restrictions in Stratix VDevice for ×6 or ×N BondingIf you are using ×6 or ×N bonding, t

Page 307

• Protocol declarations take priority over datarate. For example, XAUI has a per-lane datarate of 3.125Gbps, but only a setting of "3" is al

Page 308

Arria V Transceiver Native PHY IP Core132015.01.19UG-01080SubscribeSend FeedbackThe Arria V Transceiver Native PHY IP Core provides direct access to a

Page 309

Figure 13-1: Arria Native Transceiver PHY IP CoreCMUPLLsPMAaltera _xcvr_native_av Transceiver Native PHYReconfiguration to XCVRReconfiguration from XC

Page 310

Performance and Resource UtilizationThis section describes performance and resource utilization for the IP core.Because the Standard PCS and PMA are i

Page 311

Name Range DescriptionNumber of data channels 1-36 Specifies the total number of data channels in eachdirection.Bonding mode Bonded or xNNon-bondedor

Page 312

Figure 3-6: 10GBASE-R PHY Top-Level Signalsxgmii_tx_dc<n>[71:0]tx_readyxgmii_tx_clkxgmii_rx_dc<n>[71:0]rx_readyrx_data_ready[<n>-1:0

Page 313

Table 13-3: PMA Options Parameter Range DescriptionData rate Device Dependent Specifies the data rate. Themaximum data rate is 12.5 Gbps.PMA direct i

Page 314

Table 13-4: TX PMA ParametersParameter Range DescriptionEnable TX PLL dynamicreconfigurationOn/Off When you turn this option On, you can dynamicallyre

Page 315

Table 13-5: TX PLL ParametersParameter Range DescriptionPLL type CMU This is the only PLL type available.PLL base data rate DeviceDependentShows the b

Page 316

RX PMA ParametersNote: For more information about the CDR circuitry, refer to the Receiver PMA Datapath section in theTransceiver Architecture in Arri

Page 317

The following table lists the best case latency for the most significant bit of a word for the RX deserializerfor the PMA Direct datapath. PMA Direct

Page 318

FPGA Fabric Interface Width Bus Bits Used10 bits [9:0]16 bits {[17:10], [7:0]}20 bits [19:0]40 bits [39:0]64 bits {[77:70], [67:60], [57:50], [47:40],

Page 319

Note: For more information about the Standard PCS, refer to the PCS Architecture section in theTransceiver Architecture in Arria V Devices.The followi

Page 320

Phase Compensation FIFOThe phase compensation FIFO assures clean data transfer to and from the FPGA fabric by compensatingfor the clock phase differen

Page 321

Parameter Range DescriptionEnable rx_std_rmfifo_full portOn/Off When you turn this option On, the rate match FIFOoutputs a FIFO full status flag.Relat

Page 322

Parameter Range DescriptionByte order pattern(hex)User-specified 8-10 bit patternSpecifies the search pattern for the byte ordering block.Byte order p

Page 323 - 8-Bit 10-Bit 16-Bit 20-Bit

Signal Name Direction Descriptionxgmii_tx_dc_[<n>71:0] Input Contains 8 lanes of data and control forXGMII. Each lane consists of 8 bits of data

Page 324

Table 13-13: Byte Serializer and Deserializer ParametersParameter Range DescriptionEnable TX byte serializer On/Off When you turn this option On, the

Page 325

Table 13-15: Rate Match FIFO ParametersParameter Range DescriptionEnable RX rate matchFIFOOn/Off When you turn this option On, the PCS includes a FIFO

Page 326

Table 13-16: Status Flag Mappings for Simplified Native PHY InterfaceStatus Condition Protocol Mapping of Status Flags to RX Data ValueFullPHY IP Core

Page 327

Status Condition Protocol Mapping of Status Flags to RX Data ValueInsertionBasic double widthSerial RapidIO double widthRXD[62:62] = rx_rmfifostatus[1

Page 328

Table 13-17: Word Aligner and BitSlip Parameters Parameter Range DescriptionEnable TX bit slip On/Off When you turn this option On, the PCSincludes th

Page 329

Parameter Range DescriptionNumber of invalid words to losesync1–256 Specifies the number of invalid data codes ordisparity errors that must be receive

Page 330

Table 13-18: Bit Reversal and Polarity Inversion Parameters Parameter Range DescriptionEnable TX bit reversal On/Off When you turn this option On, the

Page 331

Parameter Range DescriptionEnable tx_std_polinv port On/Off When you turn this option On, the tx_std_polinv input is enabled. You canuse this control

Page 332

InterfacesThe Native PHY includes several interfaces that are common to all parameterizations.The Native PHY allows you to enable ports, even for disa

Page 333

Table 13-19: Native PHY Common Interfaces Name Direction DescriptionClock Inputs and Output Signalstx_pll_refclk[<r>-1:0]Input The reference clo

Page 334

1G/10GbE Control and Status Interfaces...5-12Register Inte

Page 335

Signal Name Direction Descriptionrx_data_ready [<n>-1:0] Output When asserted, indicates that the PCS issending data to the MAC. Because theread

Page 336

Name Direction Descriptiontx_analogreset[<n>-1:0]Input When asserted, resets for TX PMA, TXclock generation block, and serializer.Active high, e

Page 337

Name Direction Descriptiontx_parallel_data[43:0]Input PCS TX parallel data representing 4, 11-bit words. Used when you enable theStandard datapath. Re

Page 338

Name Direction Descriptionrx_is_lockedtoref[<n>-1:0]Output When asserted, the CDR is locked to theincoming reference clock.rx_clkslip[<n>-

Page 339

TX Data Word Descriptiontx_parallel_data[9] Force disparity, validates disparity field.tx_parallel_data[10] Specifies the current disparity as follows

Page 340 - 10G PCS Pattern Generators

RX Data Word Descriptionrx_parallel_data[10] Word alignment / synchronization statusrx_parallel_data[11] Disparity errorrx_parallel_data[12] Pattern d

Page 341

Figure 13-4: Standard PCS Interfacestx_std_clkout[<n>-1:0] rx_std_clkout[<n>-1:0]tx_std_coreclkin[<n>-1:0]rx_std_coreclkin[<n>

Page 342

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptionrx_std_pcfifo_empty[<n>-1:0]Output Yes RX phase compensation FIFO statusempty

Page 343

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptionrx_std_polinv[<n>-1:0]Input No Polarity inversion for the 8B/10B decoder,When

Page 344

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptionrx_std_bitslipboun-darysel[5<n>-1:0]Output No This signal operates when the w

Page 345 - Native PHY Common Interfaces

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptionrx_std_prbs_errOutputYesWhen asserted, indicates an error onlyafter the rx_std_prbs

Page 346

Signal Name XGMII Signal Name Descriptionxgmii_tx_dc_[44] xgmii_sdr_ctrl[4] Lane 4 controlxgmii_tx_dc_[52:45] xgmii_sdr_data[47:40] Lane 5 dataxgmii_t

Page 347

Example 13-1: Using the set_false_path Constraint to Identify Asynchronous Inputsset_false_path -through {*8gbitslip*} -to [get_registers *8g_rx_pcs*

Page 348

For nonbonded clocks, each channel and each TX PLL has a separate dynamic reconfiguration interfaces.The MegaWizard Plug-In Manager provides informati

Page 349

Arria V GZ Transceiver Native PHY IP Core142015.01.19UG-01080SubscribeSend FeedbackUnlike other PHY IP Cores, the Native PHY IP Core does not include

Page 350

Figure 14-1: Arria V GZ Native Transceiver PHY IP CorePLLsPMAaltera _xcvr_native_ <dev>Transceiver Native PHYTransceiverReconfigurationControlle

Page 351 - Standard PCS Interface Ports

Performance and Resource Utilization for Arria V GZ Native PHYBecause the 10G PCS, Standard PCS, and PMA are implemented in hard logic, the Arria V GZ

Page 352

Clicking Finish generates your customized Arria V GZ Native PHY IP Core.General Parameters for Arria V GZ Native PHYThis section describes the datapat

Page 353 - Description

Name Range DescriptionBonding modeNon-bonded or x1Bonded or ×6/xNfb_compensationIn Non-bonded or x1 mode, each channel is pairedwith a PLL.If one PLL

Page 354

PMA Parameters for Arria V GZ Native PHYThis section describes the PMA parameters for the Arria V GZ native PHY.Table 14-3: PMA OptionsThe following t

Page 355

TX PMA ParametersTable 14-4: TX PMA ParametersThe following table describes the TX PMA options you can specify.For more information about the TX CMU,

Page 356 - 10G PCS Interface

TX PLL<n>Table 14-5: TX PLL ParametersThe following table describes how you can define multiple TX PLLs for your Native PHY. The Native PHY GUIp

Page 357 - 10G PCS Interface Ports

Table 3-13: 10GBASE-R Status, 1588, and PLL Reference Clock OutputsSignal Name Direction Descriptionrx_block_lock Output Asserted to indicate that the

Page 358

Parameter Range DescriptionSelected reference clocksource0-4 You can define up to 5 frequencies for the PLLs inyour core. The Reference clock frequenc

Page 359

Parameter Range DescriptionEnable rx_seriallpbken port On/Off When you turn this option On, the rx_seriallpbkenis an input to the core. When your driv

Page 360

Parameter Range DescriptionEnable rx_set_lockedtodataand rx_set_locktoref portsOn/Off When you turn this option On, the rx_set_lockedt-data and rx_set

Page 361

FPGA Fabric Interface Width Arria V GZ Latency in UI80 bits 164The following tables lists the bits used for all FPGA fabric to PMA interface widths. R

Page 362

Standard PCS Parameters for the Native PHYThis section shows the complete datapath and clocking for the Standard PCS and defines the parametersavailab

Page 363

Table 14-11: General and Datapath ParametersThe following table describes the general and datapath options for the Standard PCS.Parameter Range Descri

Page 364

Phase Compensation FIFOThe phase compensation FIFO assures clean data transfer to and from the FPGA fabric by compensatingfor the clock phase differen

Page 365

Parameter Range DescriptionEnable RX byte ordering On/Off When you turn this option On, the PCSincludes the byte ordering block.Byte ordering control

Page 366

Parameter Range DescriptionEnable rx_std_byteorder_ena port On/Off Enables the optional rx_std_byte_order_ena control input port. When this signal isa

Page 367 - ×6/×N Bonded Clocking

Table 14-14: 8B/10B Encoder and Decoder ParametersParameter Range DescriptionEnable TX 8B/10B encoder On/Off When you turn this option On, the PCSincl

Page 368 - Transceiver Bank

Signal Name Direction Descriptiontx_digitalreset[<n>-1:0] Input When asserted, reset all blocks in the TX PCS. Ifyour design includes bonded TX

Page 369

When you enable the simplified data interface and enable the rate match FIFO status ports, the rate matchFIFO bits map to the high-order bits of the d

Page 370

Status Condition Protocol Mapping of Status Flags to RX Data ValueEmptyPHY IP Core for PCIExpress (PIPE)Basic double widthRXD[62:62] = rx_rmfifostatus

Page 371

Status Condition Protocol Mapping of Status Flags to RX Data ValueDeletionBasic double widthSerial RapidIO double widthRXD[62:62] = rx_rmfifostatus[1:

Page 372

Parameter Range DescriptionRX word aligner modebit_slipsync_smmanualSpecifies one of the following 3 modes for theword aligner:• Bit_slip : You can us

Page 373

Parameter Range DescriptionEnable rx_std_wa_patternalign port On/Off Enables the optional rx_std_wa_patterna-lign control input port. A rising edge on

Page 374 - Slew Rate Settings

Parameter Range DescriptionEnable rx_std_bitrev_ena port On/Off When you turn this option On, asserting rx_std_bitrev_ena control port causes the RXda

Page 375

PRBS VerifierYou can use the PRBS pattern generators for verification or diagnostics. The pattern generator blockssupport the following patterns:• Pse

Page 376

PCS-PMA Width8-Bit 10-Bit 16-Bit 20-BitPRBS-10XPRBS 15X X X XPRBS 23X X XPRBS 31X X X XUnlike the 10G PRBS verifier, the Standard PRBS verifier uses t

Page 377

PCS-PMA Width PRBS Patterns PRBS Pattern Select Word Aligner Size Word Aligner Pattern20-bitPRBS 7 3’b000 3’b100 0x0000043040PRBS 23 3’b001 3’b110 0x0

Page 378 - General Parameters

Offset OffsetBits R/W Name Description0xA3 [15:0] R/W Word Aligner Pattern[15:0]Stores the least significant 16 bitsfrom the word aligner pattern assp

Page 379 - PMA Parameters

Figure 3-7: Arria V GT Clock Generation and Distribution10GBASE-R Transceiver Channel - Arria V GT TX PCS(soft)RX PCS(soft)TX PMA(hard)RX PMA(hard)TX

Page 380 - TX PMA Parameters

10G PCS Parameters for Arria V GZ Native PHYThis section shows the complete datapath and clocking for the 10G PCS and defines parameters availablein t

Page 381 - TX PLL Parameters

Table 14-23: General and Datapath ParametersParameter Range Description10G PCS protocol modebasicinterlakensfi5teng_baserteng_sdiSpecifies the protoco

Page 382

Table 14-24: 10G TX FIFO ParametersParameter Range DescriptionTX FIFO ModeInterlakenphase_compregisterSpecifies one of the following 3 modes:• interla

Page 383 - RX PMA Parameters

Parameter Range DescriptionEnable tx_10g_fifo_pfull port On/Off When you turn this option On , the 10GPCS includes the active high tx_10g_fifo_pfull p

Page 384

Table 14-25: 10G RX FIFO ParametersParameter Range DescriptionRX FIFO ModeInterlakenclk_compphase_compregisterSpecifies one of the following 3 modes:•

Page 385 - Standard PCS Parameters

Parameter Range DescriptionEnable RX FIFO alignment word deletion(interlaken)On/Off When you turn this option On, allalignment words (sync words),incl

Page 386

Parameter Range DescriptionEnable rx_10g_fifo_insert port(10GBASE-R)On/Off When you turn this option On, the 10GPCS includes the rx_10g_fifo_insertpor

Page 387 - Phase Compensation FIFO

Table 14-26: Interlaken Frame Generator ParametersParameter Range Descriptionteng_tx_framgen_enable On/Off When you turn this option On, theframe gene

Page 388

zation process again. Lock status is available to the FPGA fabric. The following table describes theInterlaken frame synchronizer parameters.Table 14-

Page 389

Parameter Range DescriptionEnable rx_10g_frame_skip_err port On/Off When you turn this option On, the 10GPCS includes the rx_10g_frame_skip_err output

Page 390 - Rate Match FIFO

Figure 3-8: Arria V GZ Clock Generation and Distributionpll_ref_clk 644.53125 MHz10.3125 Gbps serial257.8125 MHz257.8125 MHz156.25 MHz10GBASE-R Hard

Page 391

10GBASE-R BER CheckerThe BER monitor block conforms to the 10GBASE-R protocol specification as described in IEEE802.3-2008 Clause-49. After block lock

Page 392

Parameter Range DescriptionEnable TX 64b/66b encoder On/Off When you turn this option On, the 10G PCSincludes the TX 64b/66b encoder.Enable TX 64b/66b

Page 393

Table 14-32: Interlaken Disparity Generator and Checker ParametersParameter Range DescriptionEnable Interlaken TX disparity generator On/Off When you

Page 394

GearboxThe gearbox adapts the PMA data width to a wider PCS data width when the PCS is not two or four timesthe PMA width.Table 14-34: Gearbox Paramet

Page 395

Table 14-35: PRBS ParametersParameter Range DescriptionEnable rx_10g_prbs ports On/Off When you turn this option On, the PCSincludes the rx_10g_prbs_d

Page 396

Offset Bits R/W Name Description0x135[15:12] R/WSquare Wave PatternSpecifies the number of consecutive 1sand 0s. The following values areavailable: 1,

Page 397

Offset Bits R/W Name Description0x15E[14] R/WRX PRBS 7 EnableEnables the PRBS-7 polynomial in thereceiver.[13] R/WRX PRBS 23 EnableEnables the PRBS-23

Page 398

In addition you have the following options:• You can toggle the Data Pattern Select bit switch between two data patterns.• You can change the value of

Page 399

Figure 14-5: Arria V GZ Native PHY Common Interfacestx_pll_refclk[<r>-1:0]tx_pma_clkout[<n>-1:0]rx_pma_clkout[<n>-1:0]rx_cdr_refclk[

Page 400

Name Direction DescriptionResetspll_powerdown[<n> -1:0]Input When asserted, resets the TX PLL. Active high,edge sensitive reset signal. By defau

Page 401

Figure 3-9: Stratix IV Clock Generation and Distributionpll_ref_clk 644.53125 MHz10.3125 Gbps serial516.625 MHz257.8125 MHz516.625 MHz257.8125 MHz156.

Page 402

Name Direction Descriptiontx_parallel_data[<n> 64-1:0]Input PCS TX parallel data. Used when you enableeither the Standard or 10G datapath. For t

Page 403

Name Direction Descriptiontx_pma_txdetectrx Input When asserted, the RX detect block in the TXPMA detects the presence of a receiver at theother end o

Page 404

Name Direction Descriptionrx_is_lockedtoref[<n> -1:0]Output When asserted, the CDR is locked to theincoming reference clock.rx_clkslip[<n>

Page 405

TX Data Word Descriptiontx_parallel_data[10] Specifies the current disparity as follows:• 1'b0 = positive• 1'b1 = negativeSignal Definitions

Page 406

RX Data Word Descriptionrx_parallel_data[10] Synchronization statusrx_parallel_data[11] Disparity errorrx_parallel_data[12] Pattern detectrx_parallel_

Page 407

Figure 14-6: Standard PCS InterfacesClocksWordAlignerPhaseCompensationFIFOByteOrderingRateMatch FIFOPolarityInversionPMAPortsStandard PCS Interface Po

Page 408

Name Dir Synchro‐nous to tx_std_coreclkin/rx_std_coreclkinDescriptionrx_std_pcfifo_empty[<n>-1:0]Output Yes RX phase compensation FIFO statusemp

Page 409

Name Dir Synchro‐nous to tx_std_coreclkin/rx_std_coreclkinDescriptionrx_std_polinv[<n>-1:0]Input No Polarity inversion for the 8B/10B decoder,Wh

Page 410

Name Dir Synchro‐nous to tx_std_coreclkin/rx_std_coreclkinDescriptiontx_std_bitslipboun-darysel[5<n>-1:0]Input No BitSlip boundary selection sig

Page 411

Name Dir Synchro‐nous to tx_std_coreclkin/rx_std_coreclkinDescriptiontx_std_elecidle[<n>-1:0]Input When asserted, enables a circuit to detect ad

Page 412

Figure 3-10: Stratix V Clock Generation and Distributionpll_ref_clk 644.53125 MHz10.3125 Gbps serial257.8125 MHz257.8125 MHz156.25 MHz10GBASE-R Hard

Page 413

Figure 14-7: Arria V Native PHY 10G PCS InterfacesClocksFrameGeneratorTX FIFORX FIFOBlockSynchronizerFrameSynchronizerBit-SlipGearboxFeature64B/66BBER

Page 414

Name Dir Synchro‐nous to tx_10g_coreclkin/rx_10g_coreclkinDescriptiontx_10g_coreclkin[<n>-1:0]Input —TX parallel clock input that drive the writ

Page 415

Name Dir Synchro‐nous to tx_10g_coreclkin/rx_10g_coreclkinDescriptiontx_10g_control[9<n>-1:0] (continued)• [2]: Inversion signal, must always be

Page 416

Name Dir Synchro‐nous to tx_10g_coreclkin/rx_10g_coreclkinDescriptiontx_10g_data_valid[<n>-1:0]Input YesWhen asserted, indicates if tx_data is v

Page 417

Name Dir Synchro‐nous to tx_10g_coreclkin/rx_10g_coreclkinDescriptionrx_10g_control[10<n>-1:0]Output YesRX control signals for the Interlaken, 1

Page 418

Name Dir Synchro‐nous to tx_10g_coreclkin/rx_10g_coreclkinDescriptionrx_10g_control[10<n>-1:0] (continued)Basic mode: 67-bit mode with Block Syn

Page 419

Name Dir Synchro‐nous to tx_10g_coreclkin/rx_10g_coreclkinDescriptionrx_10g_data_valid[<n>-1:0]Output Yes Active valid data signal with the foll

Page 420

Name Dir Synchro‐nous to tx_10g_coreclkin/rx_10g_coreclkinDescriptionRx_10g_fifo_insert[<n>-1:0] Output Yes Active-high 10G BaseR R

Page 421

Name Dir Synchro‐nous to tx_10g_coreclkin/rx_10g_coreclkinDescriptionrx_10g_frame_lock[<n>-1:0]Output No For the Interlaken protocol, asserted t

Page 422

Name Dir Synchro‐nous to tx_10g_coreclkin/rx_10g_coreclkinDescriptionrx_10g_frame_skip_err[<n>-1:0]Output No For the Interlaken protocol, assert

Page 423

Table 3-15: Avalon-MM PHY Management InterfaceSignal Name Direction Descriptionphy_mgmt_clk Input The clock signal that controls the Avalon-MMPHY mana

Page 424

Name Dir Synchro‐nous to tx_10g_coreclkin/rx_10g_coreclkinDescriptionBit-Slip Gearbox Feature Synchronizerrx_10g_bitslip[<n>-1:0]Input No User c

Page 425

SDC Timing Constraints of Arria V GZ Native PHYThis section describes SDC examples and approaches to identify false timing paths.The Quartus II softwa

Page 426

Example 14-2: Using the max_delay Constraint to Identify Asynchronous InputsYou can use the set_max_delay constraint on a given path to create a const

Page 427

Example 14-5: Overriding Logical Channel 0 Channel Assignment Restrictions in Arria V GZDevice for ×6 or ×N BondingIf you are using ×6 or ×N bonding,

Page 428

Cyclone V Transceiver Native PHY IP CoreOverview152015.01.19UG-01080SubscribeSend FeedbackThe Cyclone V Transceiver Native PHY IP Core provides direct

Page 429

In a typical design, the separately instantiated Transceiver PHY Reset Controller drives reset signals toNative PHY and receives calibration and locke

Page 430

Note: The Cyclone V Transceiver Native PHY provides presets for CPRI, GIGE, and the Low LatencyStandard PCS. The presets specify the parameters requir

Page 431

Name Range DescriptionBonding mode Non-bonded orx1Bonded or xNIn Non-bonded or x1 mode, each channel isassigned a PLL.If one PLL drives multiple chann

Page 432

Table 15-3: PMA Options Parameter Range DescriptionData rate DeviceDependentSpecifies the data rate. The maximum data rate is12.5 Gbps.TX local clock

Page 433

Parameter Range DescriptionUse external TX PLL On/Off When you turn this option On, the Native PHYdoes not include TX PLLs. Instead, the NativePHY inc

Page 434

Word Addr Bit R/W Name Description0x021 [31:0] RW cal_blk_powerdown Writing a 1 to channel <n> powersdown the calibration block forchannel <n

Page 435

Parameter Range DescriptionPLL base data rate DeviceDependentShows the base data rate of the clock input to theTX PLL.The PLL base data rate is comput

Page 436

Table 15-6: RX PMA Parameters Parameter Range DescriptionEnable CDR dynamic reconfigura‐tionOn/Off When you turn this option On, you candynamically c

Page 437

Standard PCS ParametersThis section illustrates the complete datapath and clocking for the Standard PCS and defines theparameters available to enable

Page 438

Table 15-7: General and Datapath Parameters Parameter Range DescriptionStandard PCS protocol modebasiccprigigeSpecifies the protocol that you intend

Page 439

Parameter Range DescriptionEnable Standard PCS low latencymodeOn/Off When you turn this option On, all PCS functionsare disabled except for the phase

Page 440

Parameter Range DescriptionEnable rx_std_pcfifo_full port On/Off When you turn this option On, the RX Phasecompensation FIFO outputs a FIFO full statu

Page 441

Parameter Range DescriptionByte ordering patternwidth8–10Shows width of the pattern that you must specify. Thiswidth depends upon the PCS width and wh

Page 442

Related InformationTransceiver Architecture in Cyclone V DevicesByte Serializer and DeserializerThe byte serializer and deserializer allow the PCS to

Page 443

Parameter Range DescriptionEnable TX 8B/10B disparitycontrolOn/Off When you turn this option On, the PCS includesdisparity control for the 8B/10B enco

Page 444

Note: If you have the auto-negotiation state machine in your transceiver design, please note that the ratematch FIFO is capable of inserting or deleti

Page 445

Interlaken PHY IP Core...7-1Interlaken PHY Device Family Support...

Page 446

Word Addr Bit R/W Name Description0x044[31:0] RW reset_fine_control You can use the reset_fine_control register to create your ownreset sequence. The

Page 447

Status Condition Protocol Mapping of Status Flags to RX Data ValueEmptyPHY IP Core for PCIExpress (PIPE)Basic double widthRXD[62:62] = rx_rmfifostatus

Page 448

Status Condition Protocol Mapping of Status Flags to RX Data ValueDeletionBasic double widthSerial RapidIO double widthRXD[62:62] = rx_rmfifostatus[1:

Page 449

Parameter Range DescriptionRX word aligner mode bit_slipsync_smmanualSpecifies one of the following 3 modes for theword aligner:• Bit_slip: You can us

Page 450

Parameter Range DescriptionEnable rx_std_wa_patternalignportOn/Off Enables the optional rx_std_wa_patternaligncontrol input port.Enable rx_std_wa_a1a2

Page 451

Parameter Range DescriptionEnable TX polarity inversion On/Off When you turn this option On, the tx_std_polinv port controls polarityinversion of TX p

Page 452

Parameter Range DescriptionEnable rx_std_signaldetect port On/Off When you turn this option On, theoptional rx_std_signaldetect outputport is enabled.

Page 453

Figure 15-3: Common Interface Portstx_pll_refclk[<r>-1:0]tx_pma_clkout[<n>-1:0]rx_pma_clkout[<n>-1:0]rx_cdr_refclk[<r>-1:0]Clo

Page 454

Name Direction Descriptionpll_powerdown[<p>-1:0]Input When asserted, resets the TX PLL. Activehigh, edge sensitive reset signal. Bydefault, the

Page 455

Name Direction DescriptionTX and RX serial portstx_serial_data[<n>-1:0]Output TX differential serial output data.rx_serial_data[<n>-1:0]In

Page 456

Name Direction Descriptionrx_clkslip[<n>-1:0]InputWhen you turn this signal on, thedeserializer performs a clock slipoperation to achieve word a

Page 457

Word Addr Bit R/W Name Description0x067 [31:0] RO pma_rx_is_lockedtoref When asserted, indicates that the RXCDR PLL is locked to the referenceclock. B

Page 458

TX Data Word Descriptiontx_parallel_data[10] Specifies the current disparity as follows:• 1'b0 = positive• 1'b1 = negativeSignal Definitions

Page 459

RX Data Word Descriptionrx_parallel_data[12] Pattern detectrx_parallel_data[14:13] The following encodings are defined:• 2’b00: Normal data• 2’b01: De

Page 460

Figure 15-4: Standard PCS Interfacestx_std_clkout[<n>-1:0] rx_std_clkout[<n>-1:0]tx_std_coreclkin[<n>-1:0]rx_std_coreclkin[<n>

Page 461

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptiontx_std_pcfifo_full[<n>-1:0]Output Yes TX phase compensation FIFO status fullf

Page 462

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptiontx_std_polinv[<n>-1:0]Input No Polarity inversion, part of 8B10B encoder,When

Page 463

Name Dir Synchronous totx_std_coreclkin/rx_std_coreclkinDescriptionrx_st_wa_patternalignInput No Active when you place the word aligner inmanual mode.

Page 464

The Quartus II software reports timing violations for asynchronous inputs to the Standard PCS. Becausemany violations are for asynchronous paths, they

Page 465

For non-bonded clocks, each channel and each TX PLL has a separate dynamic reconfiguration interfaces.The MegaWizard Plug-In Manager provides informat

Page 466

Transceiver Reconfiguration Controller IP CoreOverview162015.01.19UG-01080SubscribeSend FeedbackThe Altera Transceiver Reconfiguration Controller dyna

Page 467

Area Feature Stratix V Arria V Arria V GZ Cyclone VTransceiverChannel/PLLReconfigurationRX CDR reconfiguration Yes Yes Yes YesReconfiguration of PCS b

Page 468

Word Addr Bit R/W Name Description0x083[5:0] R BER_COUNT[5:0] For Stratix IV devices only, recordsthe bit error rate (BER). From block:BER monitor[13:

Page 469

Figure 16-1: Transceiver Reconfiguration Controllerto and fromEmbeddedControllerTX and RXSerial DataAvalon-MM master interfaceTransceiver Reconfigurat

Page 470

The Transceiver Reconfiguration Controller provides two modes to dynamically reconfigure transceiversettings:• Register Based—In this access mode you

Page 471

Transceiver Reconfiguration Controller Performance and ResourceUtilizationThis section describes the approximate device resource utilization for a the

Page 472

Parameterizing the Transceiver Reconfiguration Controller IP Core inQsysComplete the following steps to configure the Transceiver Reconfiguration Cont

Page 473

Name Value DescriptionTransceiver Calibration FunctionsEnable offset cancellation OnWhen enabled, the Transceiver Reconfigura‐tion Controller includes

Page 474

Name Value DescriptionEnable PLL reconfigurationsupport blockOn/Off When enabled, the Transceiver Reconfigura‐tion Controller includes logic to perfor

Page 475

Signal Name Direction Descriptionreconfig_mif_read Output When asserted, signals an Avalon-MM readrequest.reconfig_mif_readdata[15:0] Input The read d

Page 476

Signal Name Direction Descriptiontx_cal_busy OutputThis optional signal is asserted while initial TX calibra‐tion is in progress and no further reconf

Page 477

Table 16-7: Reconfiguration Management InterfaceSignal Name Direction Descriptionmgmt_clk_clk Input Avalon-MM clock input. The frequency range for the

Page 478

Signal Name Direction Descriptionreconfig_mgmt_read Input Read signal. Active high.Related InformationAvalon Interface SpecificationsTransceiver Recon

Page 479

Signal Name Direction Descriptionreconfig_from_xcvr [(<n>/4)17-1:0]Output Reconfiguration RAM. The PHY device drives thisRAM data to the transce

Page 480

The following table lists the address range for the Transceiver Reconfiguration Controller and the reconfi‐guration and signal integrity modules. The

Page 481

Auxiliary Transmit (ATX) PLL CalibrationATX calibration tunes the parameters of the ATX PLL for optimal performance. This function runs onceafter powe

Page 482

Reconfig Addr Bits R/W Register Name Description7’h0A[9] Rcontrol and statusError. When asserted, indicates an error.This bit is asserted if any of th

Page 483

Offset Bits R/W Register Name Description0x3 [4:0] RW Pre-emphasis second post-tapThe following encodings are defined:• 5’b00000 and 5’b10000: 0• 5’b0

Page 484 - Overview

EyeQ uses a phase interpolator and sampler to estimate the vertical and horizontal eye opening using thevalues that you specify for the horizontal pha

Page 485 - Cyclone Device Family Support

Note: All undefined register bits are reserved.Table 16-12: EyeQ Offsets and ValuesNote: The default value for all the register bits mentioned in this

Page 486

Offset Bits R/W Register Name Description0x3[15:4] RMW Reserved You should not modify these bits. To update thisregister, first read the value of this

Page 487

as a diagnostic tool to perform in-system link analysis without interrupting the link traffic. The stepsbelow provide BERB operation example:• Write 3

Page 488

Reconfig Addr Bits R/W Register Name Description7’h1A[9] Rcontrol and statusError.When asserted, indicates an invalidchannel or address.[8] R Busy. Wh

Page 489

Offset Bits R/W Register Name Description0x4[3] RW tap 4 polaritySpecifies the polarity of the fourth post tap asfollows:• 0: negative polarity• 1: po

Page 490

1588 Delay RequirementsThe 1588 protocol requires symmetric delays or known asymmetric delays for all external connections.In calculating the delays f

Page 491

The register-based write to turn on continuous adaptive DFE for logical channel 0 is as shown in thefollowing example:Example 16-1: Register-Based Wri

Page 492

1. Read the DFE control and status register busy bit (bit 8) until it is clear.2. Write the logical channel number of the channel to be updated to the

Page 493

Table 16-15: AEQ RegistersReconfig Addr Bits R/W Register Name Description7’h28 [9:0] RW logical channel number The logical channel number of the AEQh

Page 494

Table 16-16: AEQ Offsets and ValuesOffset Bits R/W Register Name Description Default Value0x0[8] R adapt_done When asserted, indicates that adaptation

Page 495

Table 16-17: ATX Tuning RegistersATX Addr Bits R/W Register Name Description7’h30 [9:0] RW logical channel number The logical channel number. TheTrans

Page 496

Transceiver Reconfiguration Controller PLL ReconfigurationYou can use the PLL reconfiguration registers to change the reference clock input to the TX

Page 497

Figure 16-5: Reconfiguration Tab of Native Transceiver PHYsNote: If you dynamically reconfigure PLLs, you must provide your own reset logic by includi

Page 498

Related Information• Transceiver Reset Control in Stratix V Devices• Transceiver Reset Control and Power-Down in Arria V Devices• Transceiver Reset Co

Page 499

Reconfig Addr Bits R/W Register Name Description7’h44 [15:0] RW data Specifies the read or write data.Note: All undefined register bits are reserved.T

Page 500

DCD runs automatically at power up. After power up, you can rerun DCD by writing to the DCD controlregister. Altera recommends that you run DCD calibr

Page 501

set_clock_uncertainty -from [get_clocks {*siv_alt_pma|pma_ch*.pma_direct|receive_pcs*|clkout}] -to pll_ref_clk -setup 0.1set_clock_uncertainty -from [

Page 502

Channel ReconfigurationIf you turn on Enable channel/PLL reconfiguration in the Transceiver Reconfiguration Controller GUI,you can change the followin

Page 503

Transceiver Reconfiguration Controller Streamer Module RegistersThe Streamer module defines the following two modes for channel and PLL reconfiguratio

Page 504

PHY Addr Bits R/W Register Name Description[1] W Read. Writing a 1 to this bit triggers a readoperation. This bit is self clearing.[0] W Write. Writin

Page 505

Offset Bits R/W Register Name Description0x2[4] RO MIF or Channel mismatchWhen asserted, indicates the MIF typespecified is incorrect. For example, th

Page 506

MIF GenerationThe MIF stores the configuration data for the transceiver PHY IP cores. The Quartus II softwareautomatically generates MIFs after each s

Page 507

The Quartus II software automatically generates MIF for all designs that support POF generation with thefollowing exceptions:• Designs that use bonded

Page 508

Table 16-27: Required Lines for All MIFsLine Number Description Content Includes0 Specifies start of the reconfigurationMIFStart of MIF opcode1 Specif

Page 509

information necessary to change from 1 Gbps to 5 Gbps and from 5 Gbps to 1 Gbps. You can use thesefiles to reduce reconfiguration and simulation times

Page 510

Example 16-6: Two Partial MIF filesThe following example shows and the reduced MIF file, to_MIF_A created by the xcvr_diffmifgen utility:Example 16-7:

Page 511

Reduced MIF CreationThe procedure described here is an alternative way to generate a reduced MIF file. You can also use thexcvr_diffmifgen Utility. Fo

Page 512

Note: The SDC timing constraints and approaches to identify false paths listed for Stratix V Native PHYIP apply to all other transceiver PHYs listed i

Page 513

Example 16-8: Register-Based Write of Logical Channel 0 VOD SettingSystem Console is used for the following settings:#Setting logical channel 0write_3

Page 514

Direct Write ReconfigurationFollow these steps to reconfigure a transceiver setting using a series of Avalon-MM direct writes.1. Write the logical cha

Page 515

write_32 0x3A 0x5#Read the busy bit to determine when the operation completesread_32 0x3a#Incrementing Streamer offset register offset addresswrite_32

Page 516

write_32 0x3B 0x0#Setting data register with the MIF base addresswrite_32 0x3C 0x100#Writing all data to the Streamerwrite_32 0x3A 0x1#Setting Streame

Page 517

a. Sync badcg, (offset 0xA1, bits[15:14])b. Enable Comma Detect, (offset 0xA1, bit[13])c. Enable Polarity, (offset, 0xA1, bit[11])8. Now, you must set

Page 518

a. PRBS TX Enable, (0x97, bit[9])b. PRBS Pattern Select, (0x97, bit[8:6])7. Assert the channel reset to begin testing on the new PRBS pattern.Enabling

Page 519

write_32 0x3A 0x6 //write the control and status register //with a value of 0x6 to address 0x3A to initiate a readread_32 0x3C //Read

Page 520 - Altera V-Series FPGA

//Generator selection and setupread_32 0x3A //Read the control and status register //busy bit[8] until it is clearwrite_32 0x38 0x0 //wri

Page 521

The transceiver PHY IP cores create a separate reconfiguration interface for each channel and each TXPLL. Each transceiver PHY IP core reports the num

Page 522 - Utilization

Figure 16-10: Transceiver Reconfiguration Controller Interface BundlesThe following figure shows a design with two transceiver PHY IP core instances,

Page 523

Backplane Ethernet 10GBASE-KR PHY IP Corewith Early Access FEC Option42015.01.19UG-01080SubscribeSend FeedbackThe Backplane Ethernet 10GBASE-KR PHY Me

Page 524

Two PHY IP Core Instances Each with Four Bonded ChannelsThis section describes logical channel numbering for two transceiver PHY instances, each with

Page 525

Logical Interface Number PHY Instance, Interface, or PLL12-15 Instance 1, TX PLL. The Fitter assigns all 4 logical TX PLLs to asingle physical PLL.One

Page 526

Note: Because all of the channels in a transceiver bank share a PLL, this original numbering allows theFitter to select the optimal CMU PLL from a pla

Page 527

Table 16-32: Initial Number of Eight Bonded ChannelsInstance Channel Logical Channel NumberInstance 0Channel 0 0Channel 1 1Channel 2 2Channel 3 3CMU 0

Page 528

Figure 16-12: Correct ConnectionsTransceiver ReconfigurationControllerTransceiver Bank3 TransceiverChannels3 TransceiverChannels10 GBASE-R(unused)(unu

Page 529 - Controller

The Quartus II Fitter can merge the TX PLLs for multiple transceiver PHY IP cores under the followingconditions:• The PLLs connect to the same reset p

Page 530 - Duty Cycle Calibration

post-CDR mode, received data passes through the RX CDR and then loops back to the TX output buffer.The RX data is also available to the FPGA fabric. I

Page 531

Figure 16-16: Serial LoopbackTx P C SRx P C SFPGAFabricTx PMAtx_dataoutSerializerRx PMASerialloopbackDe-serializerTo FPGA fabricfor verificationTran

Page 532

Transceiver PHY Reset Controller IP Core172015.01.19UG-01080SubscribeSend FeedbackThe Transceiver PHY Reset Controller IP Core is a highly configurabl

Page 533

Figure 17-1: Typical System Diagram for the Transceiver PHY Reset Controller IP CoreThis figure illustrates the typical use of Transceiver PHY Reset C

Page 534

Figure 4-1: 10GBASE-KR PHY MegaCore Function and Supporting BlocksAltera Device with 10.3125+ Gbps Serial Transceivers10GBASE-KR PHY MegaCore Function

Page 535

Related Information• Transceiver Reset Control in Arria V Devices• Transceiver Reset Control in Cyclone V Devices• Transceiver Reset Control in Strati

Page 536 - EyeQ Usage Example

Parameterizing the Transceiver PHY Reset Controller IPThis section lists steps to configure the Transceiver PHY Reset Controller IP Core in the IP Cat

Page 537

Name Range DescriptionEnable TX PLL reset control On /Off When On, the Transceiver PHY ResetController IP core enables the reset control ofthe TX PLL.

Page 538

Name Range DescriptionRX ChannelEnable RX channel reset control On /Off When On, the Transceiver PHY ResetController enables the control logic andasso

Page 539

Figure 17-2: Transceiver PHY Reset Controller IP Core Top-Level SignalsGenerating the IP core creates signals and ports based on your parameter settin

Page 540 - Turning on Triggered DFE Mode

Signal Name Direction Clock Domain Descriptionrx_cal_busy[<n> -1:0]Input Asynchronous This is calibration status signal from theTransceiver PHY

Page 541

Signal Name Direction Clock Domain Descriptiontx_digital-reset[<n>-1:0]Output Synchronous to theTransceiver PHYReset Controllerinput clock.Digit

Page 542

Signal Name Direction Clock Domain Descriptionrx_digital-reset[<n> -1:0]Output Synchronous to theTransceiver PHYReset Controllerinput clock.Digi

Page 543

Figure 17-3: Physical Routing Delay Skew in Bonded ChannelsPHY ResetControllerTXChannel[ n - 1]TXChannel[1]TXChannel[0]Bonded TXChannelstx_digitalrese

Page 544

For more information about the set_max_skew constraint, refer to the SDC and TimeQuest API ReferenceManual.Related InformationSDC and TimeQuest API Re

Page 545

10GBASE-KR PHY Release InformationTable 4-1: 10GBASE-KR PHY Release InformationItem DescriptionVersion 13.1Release Date November 2013Ordering CodesIP-

Page 546

Transceiver PLL IP Core for Stratix V, Arria V,and Arria V GZ Devices182015.01.19UG-01080SubscribeSend FeedbackWhen a fractional PLL functions as the

Page 547

Figure 18-1: IP Cores Required for Designs Using the Fractional PLLThe following figure show the IP Cores you can instantiate to create designs that u

Page 548

Parameterizing the Transceiver PLL PHYThe IP Catalog provides the following Transceiver PLL IP Cores: Arria V Transceiver, Arria V GZTransceiver PLL,

Page 549

Name Value DescriptionReference clock frequency Variable Specifies the frequency of the PLL inputreference clock. The PLL must generate anoutput frequ

Page 550 - PLL Reconfiguration

Related InformationComponent Interface Tcl ReferenceUG-010802015.01.19Transceiver PLL Signals18-5Transceiver PLL IP Core for Stratix V, Arria V, and A

Page 551

Analog Parameters Set Using QSF Assignments192015.01.19UG-01080SubscribeSend FeedbackYou specify the analog parameters using the Quartus II Assignment

Page 552

a. Double-click in the Assignment Name column and scroll to the bottom of the availableassignments.b. Select VCCR_GXB/VCCT_GXB Voltage.c. In the Value

Page 553

Assign ToPin - TX & RX serial dataXCVR_REFCLK_PIN_TERMINATIONPin Planner and Assignment Editor NameTransceiver Dedicated Refclk Pin TerminationDes

Page 554 - MIF Generation

XCVR_VCCR_ VCCT_VOLTAGEPin Planner and Assignment Editor NameVCCR_GXBVCCT_GXB VoltageDescriptionConfigures the VCCR_GXB and VCCT_GXB voltage for an GX

Page 555 - MIF Format

PLL_BANDWIDTH_PRESETPin Planner and Assignment Editor NamePLL Bandwidth PresetDescriptionSpecifies the PLL bandwidth preset settingOptions• Auto• Low•

Page 556

Parameterizing the Custom PHY... 9-3General

Page 557

The following table shows the typical expected resource utilization for selected configurations using thecurrent version of the Quartus II software ta

Page 558

XCVR_ANALOG_SETTINGS_PROTOCOL assigns a value to XCVR_RX_BYPASS_EQ_STAGES_234. If you alsoassign a value to this parameter, a Quartus II Fitter error

Page 559 - Reduced MIF Creation

XCVR_RX_LINEAR_EQUALIZER_CONTROLPin Planner and Assignment Editor NameReceiver Linear Equalizer ControlDescriptionStatic control for the continuous ti

Page 560 - Register-Based Read

1Assign ToPin - RX serial dataXCVR_RX_SD_ONPin Planner and Assignment Editor NameReceiver Cycle Count Before Signal Detect Block Declares Presence Of

Page 561 - Direct Write Reconfiguration

Assign ToPin - RX serial dataXCVR_TX_COMMON_MODE_VOLTAGEPin Planner and Assignment Editor NameTransmitter Common Mode Driver VoltageDescriptionTransmi

Page 562

Options• TRUE• FALSEAssign ToPin - TX serial dataXCVR_TX_RX_DET_MODEPin Planner and Assignment Editor NameTransmitter Receiver Detect Block ModeDescri

Page 563

DescriptionWhen set to DYNAMIC_CTL, the PCS block controls the VOD and pre-emphasis coefficients for PCIExpress. When this assignment is set to RAM_

Page 564

Options• 85_Ohms• 100_Ohms• 120_Ohms• 150_Ohms• External_ResistorAssign ToPin - TX & RX serial dataXCVR_REFCLK_PIN_TERMINATIONPin Planner and Assi

Page 565 - Reconfiguration

a value to this setting and XCVR_ANALOG_SETTINGS_PROTOCOL results in a Quartus II Fitter error as shownin the following example:Error (21215)Error res

Page 566

DescriptionConfigure the VCCA_GXB voltage for a GXB I/O pin by specifying the intended VCCA_GXB voltage fora GXB I/O pin. If you do not make this assi

Page 567

DescriptionSpecifies the CDR bandwidth preset settingOptions• Auto• Low• Medium• HighAssign ToPLL instancemaster_ch_numberPin Planner and Assignment E

Page 568

Related Information• 10GBASE-KR Link Training Parameters on page 4-5• 10GBASE-KR Auto-Negotiation and Link Training Parameters on page 4-7• 10GBASE-R

Page 569

Options• Auto• Low• Medium• HighAssign ToPLL instancereserved_channelPin Planner and Assignment Editor NameParameter (Assignment Editor Only)Descripti

Page 570

XCVR_ANALOG_SETTINGS_PROTOCOL assigns a value to XCVR_RX_BYPASS_EQ_STAGES_234. If you alsoassign a value to this parameter, a Quartus II Fitter error

Page 571

Assign ToPin - RX serial dataXCVR_RX_LINEAR_EQUALIZER_CONTROLPin Planner and Assignment Editor NameReceiver Linear Equalizer ControlDescriptionStatic

Page 572

Assign ToPin - RX serial dataXCVR_RX_SD_ENABLEPin Planner and Assignment Editor NameReceiver Signal Detection Unit Enable/DisableDescriptionEnables or

Page 573

Options0–16Assign ToPin - RX serial dataXCVR_RX_SD_THRESHOLDPin Planner and Assignment Editor NameReceiver Signal Detection Voltage ThresholdDescripti

Page 574

XCVR_TX_PRE_EMP_PRE_TAP_USERPin Planner and Assignment Editor NameTransmitter Pre-emphasis Pre-Tap userDescriptionSpecifies the TX pre-emphasis pretap

Page 575 - Loopback Modes

Note: This parameter must be set in conjunction with XCVR_TX_VOD, XCVR_TX_PRE_EMP_2ND_POST_TAP,and XCVR_TX_PRE_EMP_PRE_TAP. All combinations of these

Page 576 - Transceiver

Assign ToPin - TX serial dataRelated InformationSolution rd02262013_691This solution provides the mapping of the Transceiver Toolkit pretap settings t

Page 577

Related InformationArria V GZ Device DatasheetXCVR_TX_RX_DET_ENABLEPin Planner and Assignment Editor NameTransmitter Receiver Detect Block EnableDescr

Page 578

Assign ToPin - TX serial dataXCVR_TX_VODPin Planner and Assignment Editor NameTransmitter Differential Output VoltageDescriptionDifferential output vo

Page 579 - Reset Controller

Name Value DescriptionVMINRULE0-63 Specifies the minimum VOD. The default value is 9which represents 165 mV.VODMINRULE0-63 Specifies the minimum VOD f

Page 580

Analog Settings for Cyclone V DevicesXCVR_IO_PIN_TERMINATIONPin Planner and Assignment Editor NameTransceiver I/O Pin TerminationDescriptionSpecifies

Page 581

Assign ToPin - PLL refclk pinXCVR_TX_SLEW_RATE_CTRLPin Planner and Assignment Editor NameTransmitter Slew Rate ControlDescriptionSpecifies the slew ra

Page 582

CDR_BANDWIDTH_PRESETPin Planner and Assignment Editor NameCDR Bandwidth PresetDescriptionSpecifies the CDR bandwidth preset settingOptions• Auto• Low•

Page 583

you cannot assign a value for any settings that this parameter controls. For example, for PCIe, theXCVR_ANALOG_SETTINGS_PROTOCOL assigns a value to XC

Page 584

DescriptionStatic control for the continuous time equalizer in the receiver buffer. The equalizer has 3 settings from 0–2 corresponding to the increas

Page 585

DescriptionNumber of parallel cycles to wait before the signal detect block declares loss of signal. Only used for thePCIe PIPE PHY, SATA, and SAS pro

Page 586

The signal detect output is high when the receiver peak-to-peak differential voltage (diff p-p) > Vth x 4.For example, a setting of 6 translates to

Page 587

XCVR_TX_RX_DET_ENABLEPin Planner and Assignment Editor NameTransmitter Receiver Detect Block EnableDescriptionEnables or disables the receiver detecto

Page 588

XCVR_TX_VOD_PRE_EMP_CTRL_SRCPin Planner and Assignment Editor NameTransmitter VOD Pre-emphasis Control SourceDescriptionWhen set to DYNAMIC_CTL, the

Page 589

Options• 0-15• 12 (TX)• 9 (RX)Assign ToPin - TX & RX serial dataXCVR_IO_PIN_TERMINATIONPin Planner and Assignment Editor NameTransceiver I/O Pin T

Page 590

10GBASE-KR Auto-Negotiation and Link Training ParametersTable 4-5: Auto Negotiation and Link Training SettingsName Range DescriptionAN_PAUSE Pause Abi

Page 591

Options• AC_COUPLING• DC_COUPLING_INTERNAL_100_OHMS• DC_COUPLING_EXTERNAL_RESISTORAssign ToPin - PLL refclk pinXCVR_RX_BYPASS_EQ_STAGES_234Pin Planner

Page 592 - Transceiver PLL Parameters

DescriptionSpecifies the slew rate of the output signal. The valid values span from the slowest rate to fastest rate with1 representing the slowest ra

Page 593 - Transceiver PLL Signals

Assign ToPin - TX & RX serial dataRelated InformationStratix V Device DatasheetAnalog Settings Having Global or Computed Default Values for Strati

Page 594

Example 19-4: Overriding Default Master ChannelExample: set_parameter -name master_ch_number 4 -to"<design>:inst|altera_xcvr_native_sv:test

Page 595

DescriptionAllows you to override the default channel placement of x8 variants. For the PHY IP Core for PCI Express(PIPE), you can use this QSF assign

Page 596 - XCVR_IO_PIN_TERMINATION

• BASIC• CEI• CPRI• INTERLAKEN• PCIE_GEN1• PCIE_GEN2• PCIE_GEN3• QPI• SFIS• SONET• SRIO• TENG_1588• TENG_BASER• TENG_SDI• XAUIAssign ToPin - TX and RX

Page 597 - XCVR_TX_SLEW_RATE_CTRL

Assign ToPin - RX serial dataXCVR_RX_LINEAR_EQUALIZER_CONTROLPin Planner and Assignment Editor NameReceiver Linear Equalizer ControlDescriptionStatic

Page 598 - CDR_BANDWIDTH_PRESET

XCVR_GT_TX_COMMON_ MODE_VOLTAGEPin Planner and Assignment Editor NameGT Transmitter Common Mode Driver VoltageDescriptionTransmitter common-mode drive

Page 599 - XCVR_ANALOG_SETTINGS_PROTOCOL

Options• ON• OFFAssign ToPin - TX serial dataRelated InformationStratix V Device DatasheetXCVR_GT_TX_PRE_EMP_ PRE_TAPPin Planner and Assignment Editor

Page 600 - XCVR_RX_COMMON_MODE_VOLTAGE

DescriptionReceiver buffer common-mode voltage.Note: Contact Altera for using this assignment.Related InformationHow to Contact Altera on page 21-42XC

Page 601 - XCVR_RX_SD_OFF

Parameter Name Options DescriptionReference clock frequency 644.53125MHz322.265625MHzSpecifies the input reference clock frequency.The default is 322.

Page 602 - XCVR_RX_SD_THRESHOLD

XCVR_RX_SD_OFFPin Planner and Assignment Editor NameReceiver Cycle Count Before Signal Detect Block Declares Loss Of SignalDescriptionNumber of parall

Page 603 - XCVR_TX_RX_DET_ENABLE

• SDLV_25MV=2• SDLV_20MV=1• SDLV_15MV=0For the PCIe PIPE PHY, SATA, and SAS.The signal detect output is high when the receiver peak-to-peak differenti

Page 604 - XCVR_TX_VOD_PRE_EMP_CTRL_SRC

Related Information• Solution rd02262013_691This solution provides the mapping of the Transceiver Toolkit pretap settings to the Quartus IItransceiver

Page 605

DescriptionSpecifies the second post-tap setting value.Note: This parameter must be set in conjunction with XCVR_TX_VOD, XCVR_TX_PRE_EMP_1ST_POST_TAP,

Page 606 - XCVR_RX_BYPASS_EQ_STAGES_234

DescriptionInverts the transmitter pre-emphasis pretap. Specifies the TX pre-emphasis pretap setting value, includinginversion.Options• TRUE• FALSEAss

Page 607 - XCVR_VCCA_VOLTAGE

Options• TRUE• FALSEAssign ToPin - TX serial dataXCVR_TX_RX_DET_MODEPin Planner and Assignment Editor NameTransmitter Receiver Detect Block ModeDescri

Page 608 - XCVR_VCCR_VCCT_VOLTAGE

DescriptionDifferential output voltage setting. The values are monotonically increasing with the driver main tapcurrent strength.Note: This parameter

Page 609

Migrating from Stratix IV to Stratix V DevicesOverview202013.12.20UG-01080SubscribeSend FeedbackPreviously, Altera provided the ALTGX megafunction as

Page 610

Loopback Mode Stratix IV Stratix VReverse serial loopback (pre-and post-CDR)On the Loopback tab of theALTGX MegaWizard Plug-InManager, select either p

Page 611

Stratix IV devices that include transceivers must use the ALTGX_RECONFIG IP Core to implementdynamic reconfiguration. The ALTGX_RECONFIG IP Core alway

Page 612

Parameter Name Options DescriptionEnable FEC status ports On/Off When you turn this option the core includes therx_block_lock, rx_parity_good, rx_pari

Page 613

ALTGX Parameter Name (Default Value) XAUI PHY Parameter Name CommentsAcceptable PPM threshold betweenreceiver CDR VCO and receiverinput reference cloc

Page 614

Differences Between XAUI PHY Ports in Stratix IV and Stratix V DevicesThis section lists the differences between the top-level signals in Stratix IV G

Page 615 - XCVR_TX_PRE_EMP_PRE_TAP_USER

Stratix IV GX Devices(20)Stratix V DevicesSignal Name Width Signal Name Widthcal_blk_powerdown — Not available —rx_syncstatus [2<n> -1:0] rx_syn

Page 616 - XCVR_TX_PRE_EMP_INV_2ND_TAP

Differences Between PHY IP Core for PCIe PHY (PIPE) Parameters inStratix IV and Stratix V DevicesThis section lists the PHY IP Core for PCI Express PH

Page 617 - XCVR_TX_PRE_EMP_PRE_TAP

ALTGX Parameter Name (Default Value) CI Express PHY (PIPE)Parameter NameCommentsTrain receiver CDR from pll_inclk (false)Not available inMegaWizard In

Page 618 - XCVR_TX_RX_DET_OUTPUT_SEL

Table 20-5: PCIe PHY (PIPE) Correspondence between Stratix IV GX Device and Stratix V Device SignalsStratix IV GX Device Signal Name(21)Stratix V GX D

Page 619

Stratix IV GX Device Signal Name(21)Stratix V GX Device Signal Name Widthpipephydonestatus pipe_phystatus [<n>-1:0]pipestatus pipe_rxstatus [3&l

Page 620

Stratix IV GX Device Signal Name(21)Stratix V GX Device Signal Name WidthNot availablephy_mgmt_clk_reset 1phy_mgmt_clk 1phy_mgmt_address [8:0]phy_mgmt

Page 621

ALTGX Parameter Name (Default Value) Custom PHY Parameter NameWhat is the deserializer block width?SingleDoubleDeserializer block width: (22)AutoSingl

Page 622

Differences Between Custom PHY Ports in Stratix IV and Stratix V DevicesThis section lists the differences between the top-level signals in Stratix IV

Page 623

Speed Detection ParametersSelecting the speed detection option gives the PHY the ability to detect to link partners that support 1G/10GbE but have dis

Page 624

ALTGX(23)Custom PHY Widthrx_freqlocked rx_is_lockedtodata [<n>-1:0]Transceiver Control and Status Signalsgxb_powerdown phy_mgmt_clk_reset —rx_da

Page 625

Additional Information for the Transceiver PHYIP Core212015.01.19UG-01080SubscribeSend FeedbackThis section provides the revision history for the chap

Page 626

Chapter DocumentVersionChanges Made1G/10Gbps EthernetPHY IP Core2.7 Made the following changes:• Updated the chapter to indicate new IP instantiation

Page 627

Chapter DocumentVersionChanges MadeCustom PHY IP Core 2.7 Made the following changes:• Updated the chapter to indicate new IP instantiation flow using

Page 628 - XCVR_GT_IO_PIN_TERMINATION

Chapter DocumentVersionChanges MadeStratix V TransceiverNative PHY IP Core2.7 Made the following changes:• Updated the chapter to indicate new IP inst

Page 629

Chapter DocumentVersionChanges MadeArria V GZTransceiver NativePHY IP Core2.7 Made the following changes:• Updated the chapter to indicate new IP inst

Page 630

Chapter DocumentVersionChanges MadeTransceiver PHYReset Controller IPCore2.7 Made the following changes:• Updated the chapter to indicate new IP insta

Page 631

Chapter DocumentVersionChanges MadeBackplane Ethernet10GBASE-KR PHY2.6Made the following changes:• Corrected an error in the description of pcs_mode_r

Page 632

Chapter DocumentVersionChanges Made1G/10GbE EthernetPHY IP Core2.6Made the following changes:• Corrected an error in the description of pcs_mode_rc[5:

Page 633

Chapter DocumentVersionChanges MadeDeterministicLatency PHY IP Core2.6Made the following changes:• Corrected the description of tx_datak signal in Tab

Page 634

In this figure, the colors have the following meanings:• Green-Altera- Cores available Quartus II IP Library, including the 1G/10Gb Ethernet MAC, the

Page 635 - XCVR_GT_RX_DC_GAIN

Chapter DocumentVersionChanges MadeArria V GZTransceiver NativePHY IP Core2.6Made the following changes:• Removed the description for rx_clklow and rx

Page 636 - XCVR_GT_RX_CTLE

Chapter DocumentVersionChanges MadeAnalog ParametersSet Using QSFAssignments2.6Made the following changes:• Corrected values for XCVR_REFCLK_PIN_TERMI

Page 637

Chapter DocumentVersionChanges Made1G/10GbE EthernetPHY IP Core2.5 Made the following changes:• Corrected definition of gxmii_rx_d. This signal is syn

Page 638 - XCVR_GT_TX_VOD_MAIN_TAP

Chapter DocumentVersionChanges MadeStratix V TransceiverNative PHY IP Core2.5 Made the following changes:• Corrected Figure 12-4 showing the 10G PCS d

Page 639

Chapter DocumentVersionChanges MadeTransceiver Reconfi‐guration ControllerIP Core Overview2.5 Made the following changes:• Updated table for "Dev

Page 640

Date DocumentVersionChanges Made1G/10Gbps EthernetPHY IP Core2.4Backplane Ethernet10GBASE-KR PHYIP Core2.4 Added descriptions of FEC-related bits: C2[

Page 641

Date DocumentVersionChanges MadeIntroductionApril 2013 2.1 Update to introduction. Renamed heading "Additional TransceiverPHYs" to "Non

Page 642

Date DocumentVersionChanges MadeTransceiver Reconfiguration ControllerApril 2013 2.1 Rename table 16-13 to DFE Registers. Fix typo in Reconfig Addrcol

Page 643

Date DocumentVersionChanges MadeMarch 2013 2.0 Made the following changes:• Improved the description of automatic speed detection.• Updated speed grad

Page 644

Date DocumentVersionChanges MadeStratix V Native PHYMarch 2013 2.0 Updated definition of User external TX PLL to include informationon how to instanti

Page 645

• An embedded processor mode to override the state-machine-based training algorithm. This modeallows an embedded processor to establish link data rate

Page 646

Date DocumentVersionChanges MadeMarch 2013 2.0 Initial Release.Analog Parameters Set Using QSF AssignmentMarch 2013 2.0 Made the following changes.• C

Page 647

Date DocumentVersionChanges MadeFebruary 2013 1.9• Reformatted.• Corrected definition of rx_data_ready. This signal is used andindicates that the PCS

Page 648 - Transceivers

Date DocumentVersionChanges MadeFebruary 2013 1.9• Reformatted.• Removed QPI signals from Figure showing Arria V Native PHYCommon Interfaces. These si

Page 649

Date DocumentVersionChanges MadeNovember 2012 1.8• Expanded discussion of the Arria V, Arria V GZ, Cyclone V, andStratix V Transceiver Native PHY IP C

Page 650 - 2013.12.20

Date DocumentVersionChanges MadeNovember 2012 1.8• Added Gen3 support.• Added Arria V GZ support.• Added ×2 support.• Added discussion of link equaliz

Page 651 - Stratix IV GX Devices

Date DocumentVersionChanges MadeArria V Transceiver Native PHYNovember 2012 1.8• Added support for Standard datapath.• Added support for multiple PLLs

Page 652

Date DocumentVersionChanges MadeNovember 2012 1.8• Created separate chapter for analog parameters that werepreviously listed in the individual transce

Page 653 - Comments

Date DocumentVersionChanges MadeJune 2012 1.7• Added the following QSF settings to all transceiver PHY: XCVR_TX_PRE_EMP_PRE_TAP_USER, XCVR_TX_PRE_EMP_

Page 654

Date DocumentVersionChanges MadePHY IP Core for PCI Express (PIPE)June 2012 1.7• Added the following QSF settings to all transceiver PHY: XCVR_TX_PRE_

Page 655

Date DocumentVersionChanges MadeJune 2012 1.7• Added the following QSF settings to all transceiver PHY: XCVR_TX_PRE_EMP_PRE_TAP_USER, XCVR_TX_PRE_EMP_

Page 656

Figure 4-4: TX Equalization in Daisy-Chain ModeRXEncodeHandshakeAdaptTXdmi*dmi*dmi*dmo*dmo* Partner A Parter C Parter BEqDecodeRXEncodeHandshakeAdaptT

Page 657

Date DocumentVersionChanges MadeJune 2012 1.7• DFE now automatically runs offset calibration and phaseinterpolator (PI) phase calibration at power on.

Page 658

Date DocumentVersionChanges MadeLow Latency PHYFebruary 2012 1.5• Added register definitions for Low Latency PHY.Deterministic Latency PHYFebruary 201

Page 659 - Custom PHY Width

Date DocumentVersionChanges MadeDecember 2011 1.4• Changed definition of phy_mgmt_clk_reset. This signal isactive high and level sensitive.CustomDecem

Page 660

Date DocumentVersionChanges MadeDecember 2011 1.4• Added duty cycle distortion (DCD) signal integrity feature.• Added PLL and channel reconfiguration

Page 661 - Changes Made

Date DocumentVersionChanges MadeInterlaken Transceiver PHYNovember 2011 1.3• Added tx_sync_done signal which indicates that all lanes of TXdata are sy

Page 662

Date DocumentVersionChanges MadeNovember 2011 1.3• Added MIF support to allow transceiver reconfiguration froma .mif file that may contain updates to

Page 663

Date DocumentVersionChanges MadeMay 2011 1.2• Added simulation section.• Revised Figure 1–1 on page 1–1 to show the TransceiverReconfiguration Control

Page 664

Date DocumentVersionChanges MadeMay 2011 1.2• Added details about the 0 ready latency for tx_ready.• Added PLL support to lane rate parameter descript

Page 665

Date DocumentVersionChanges MadeMay 2011 1.2• Added presets for the 2.50 GIGE and 1.25GIGE protocols.• Moved dynamic reconfiguration for the transceiv

Page 666

Date DocumentVersionChanges MadeMigrating from Stratix IV to Stratix VMay 2011 1.2• Added discussion of dynamic reconfiguration for Stratix IV andStra

Page 667

Interfaces for Deterministic Latency PHY...11-15Data Interface

Page 668

Auto negotiation with XAUI is not supported. Auto negotiation is run upon power up or if the autonegotiation module is reset.The following figures ill

Page 669

Date DocumentVersionChanges MadeDecember 2010 1.1• • Added Stratix V support• Changed phy_mgmt_address from 16 to 9 bits.• Renamed management interfac

Page 670

Date DocumentVersionChanges MadeDecember 2010 1.1• Added simulation support in ModelSim SE• Added PIPE low latency configuration option• Changed phy_m

Page 671

Date DocumentVersionChanges MadeNovember 2010 1.1• Corrected address offsets in PMA Analog Registers. These arebyte offsets and should be: 0x00, 0x04,

Page 672

• Channel number—specifies the requested channel• Mode—specifies 1G or 10G data modes or AN or LT modes for the corresponding channel2. Select a chann

Page 673

Figure 4-7: FEC Functional Block DiagramPCSTransmitEncodeScrambleGearboxPCSReceiveDecodeDescrambleBlock SyncBER and SyncHeader MonitorFEC (2112,2080)

Page 674

Figure 4-8: FEC Codeword Format64 Bit Payload Word 064 Bit Payload Word 464 Bit Payload Word 864 Bit Payload Word 1264 Bit Payload Word 1664 Bit Paylo

Page 675

• FEC Block Synchronizer: The FEC block synchronizer achieves FEC block delineation by locking tocorrectly received FEC blocks. An algorithm with hyst

Page 676 - Date Document

10BASE-KR PHY InterfacesFigure 4-10: 10GBASE-KR Top-Level Signalsxgmii_tx_dc[71:0]xgmii_tx_clkxgmii_rx_dc[71:0]xgmii_rx_clkgmii_tx_d[7:0]gmii_rx_d[7:0

Page 677

Related InformationComponent Interface Tcl Reference10GBASE-KR PHY Clock and Reset InterfacesThis topic provides a block diagram of the 10GBASE-KR clo

Page 678

Table 4-10: Clock and Reset SignalsSignal Name Direction Descriptionrx_recovered_clk Output The RX clock which is recovered from the receiveddata. You

Page 679

• Transceiver Reconfiguration Controller IP Core Overview on page 16-110GBASE-KR PHY Data InterfacesThe following table describes the signals in the X

Page 680

10GBASE-KR GMII Data Interfacegmii_rx_errOutput When asserted, indicates an error. May be assertedat any time during a frame transfer to indicate aner

Page 681

Bit Reversal and Polarity Inversion...13-20Interfaces...

Page 682

Signal Name SDR XGMII Signal Name Descriptionxgmii_tx_dc[52:45] xgmii_sdr_data[47:40] Lane 5 dataxgmii_tx_dc[53] xgmii_sdr_ctrl[5] Lane 5 controlxgmii

Page 683

10GBASE-KR PHY Control and Status InterfacesThe 10GBASE-KR XGMII and GMII interface signals drive data to and from PHY.Table 4-14: Control and Status

Page 684

Signal Name Direction Descriptionref_clk_1g input. The random error without a ratematch FIFO mode is:• +/- 1 ns at 1000 Mbps• +/- 5 ns at 100 Mbps• +/

Page 685

Signal Name Direction Descriptionrx_latency_adj_10g[15:0] Output When you enable 1588, this signal outputs the realtime latency in XGMII clock cycles

Page 686

Table 4-15: Daisy Chain Interface SignalsSignal Name Direction Descriptiondmi_mode_en Input When asserted, enable Daisy Chain mode.dmi_frame_lock Inpu

Page 687

Table 4-16: Embedded Processor Interface SignalsSignal Name Direction Descriptionupi_mode_en Input When asserted, enables embedded processor mode.upi_

Page 688

Signal Name Direction Descriptionreconfig_from_xcvr[(<n>46-1):0]Output Reconfiguration signals to the ReconfigurationDesign Example. <n> g

Page 689

Signal Name Direction Descriptionpcs_mode_rc[5:0] Output Specifies the PCS mode for reconfig using 1-hotencoding. The following modes are defined:• 6&

Page 690

Signal Name Direction Descriptionrxeq_done Input Link training requires RX equalization to becomplete. Tie this signal to 1 to indicate that RXequaliz

Page 691

Notes:• Unless otherwise indicated, the default value of all registers is 0.• Writing to reserved or undefined register addresses may have undefined s

Page 692

Simulation Support...15

Page 693

WordAddrBit R/W Name Description18 RW Assert KR FECRequestWhen set to 1, indicates that the core is requesting the FECability. When this bit changes,

Page 694

WordAddrBit R/W Name Description0xB4 31:0 RSC FEC UncorrectedBlocksCounts the number of uncorrectable FEC blocks. Resets to 0when read. Otherwise, it

Page 695

WordAddrBit R/W Name Description3 RO AN ADV RemoteFaultWhen set to 1, fault information has been sent to the linkpartner. When 0, a fault has not occu

Page 696

WordAddrBit R/W Name Description• [4:0]: Selector• [9:5]: Echoed nonce which are set by the state machine• [12:10]: Pause bits• [13]: Remote Fault bit

Page 697

WordAddrBit R/W Name Description0xC5 15:0 RW User Next pagelowThe Auto-Negotiation TX state machine uses these bits if theAuto-Negotiation next pages

Page 698

WordAddrBit R/W Name Description0xCB24:0RO AN LP ADV Tech_A[24:0]Received technology ability field bits of Clause 73Auto-Negotiation. The 10GBASE-KR P

Page 699

WordAddrBit R/W Name Description7:4 RW main_step_cnt[3:0]Specifies the number of equalization steps for each main tapupdate. There are about 20 settin

Page 700

WordAddrBit R/W Name Description22:20 RW rx_ctle_modeRX CTLE mode in the Link Training algorithm. The defaultvalue is 3'b000. The following encod

Page 701

WordAddrBit R/W Name Description0xD20RO Link Trained -Receiver statusWhen set to 1, the receiver is trained and is ready to receivedata. When set to 0

Page 702 - How to Contact Altera

WordAddrBit R/W Name Description19:10 RW ber_time_k_frames Specifies the number of thousands of training frames toexamine for bit errors on the link f

Comments to this Manuals

No comments