Altera SCFIFO User Manual

Browse online or download User Manual for Measuring instruments Altera SCFIFO. Altera SCFIFO User Manual

  • Download
  • Add to my manuals
  • Print
  • Page
    / 28
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 0
SCFIFO and DCFIFO IP Cores User Guide
2014.12.17
UG-MFNALT_FIFO
Subscribe
Send Feedback
Altera provides FIFO functions through the parameterizable single-clock FIFO (SCFIFO) and dual-clock
FIFO (DCFIFO) megafunction IP cores The FIFO functions are mostly applied in data buffering
applications that comply with the first-in-first-out data flow in synchronous or asynchronous clock
domains.
The specific names of the IP cores are as follows:
SCFIFO: single-clock FIFO
DCFIFO: dual-clock FIFO (supports same port widths for input and output data)
DCFIFO_MIXED_WIDTHS: dual-clock FIFO (supports different port widths for input and output
data)
Note: The term “DCFIFO” refers to both the DCFIFO and DCFIFO_MIXED_WIDTHS IP cores, unless
specified.
Configuration Methods
You can configure and build the FIFO IP cores with the following methods:
Table 1: Configuration Methods
Method Description
Using the FIFO parameter editor. Altera recommends using this method to build your
FIFO IP cores. It is an efficient way to configure and
build the FIFO IP cores. The FIFO parameter editor
provides options that you can easily use to
configure the FIFO IP cores.
Manually instantiating the FIFO IP cores. Use this method only if you are an expert user. This
method requires that you know the detailed specifi‐
cations of the IP cores. You must ensure that the
input and output ports used, and the parameter
values assigned are valid for the FIFO IP cores you
instantiate for your target device.
Related Information
Introduction to Altera IP Cores
Provides general information about the Quartus II Parameter Editor
©
2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are
trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as
trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance
of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any
products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,
product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device
specifications before relying on any published information and before placing orders for products or services.
ISO
9001:2008
Registered
www.altera.com
101 Innovation Drive, San Jose, CA 95134
Page view 0
1 2 3 4 5 6 ... 27 28

Summary of Contents

Page 1 - Configuration Methods

SCFIFO and DCFIFO IP Cores User Guide2014.12.17UG-MFNALT_FIFOSubscribeSend FeedbackAltera provides FIFO functions through the parameterizable single-c

Page 2 - Specifications

Parameter Type RequiredDescriptionadd_ram_output_register String No Specifies whether to register the q output. The valuesare ON and OFF. If omitted,

Page 3

Figure 2: Functional Timing for the wrreq Signal and the wrfull SignalThis figure shows the behavior for the wrreq and the wrfull signals.Figure 3: Fu

Page 4

Table 4: Output Latency of the Status Flags for SCFIFOThis table shows the output latency of the write signal (wrreq) and read signal (rdreq) for the

Page 5

Table 5: LE Implemented RAM Mode for SCFIFO and DCFIFOOutput Mode Optimization Option (10)Output Latency (in number of clock cycles) (11)Normal (12)Sp

Page 6 - SCFIFO and DCFIFO Parameters

Table 6: Output Latency of the Status Flag for the DCFIFOThis table shows the output latency of the write signal (wrreq) and read signal (rdreq) for t

Page 7

Group Setting CommentMinimal setting for unsynchronized clocks This option uses two synchronization stages withgood metastability protection. It uses

Page 8

SCFIFO and DCFIFO Synchronous Clear and Asynchronous Clear EffectThe FIFO IP cores support the synchronous clear (sclr) and asynchronous clear (aclr)

Page 9

Table 10: Asynchronous Clear in DCFIFOThis table shows the asynchronous clear supported by the DCFIFO.Mode Asynchronous Clear(aclr)aclr (synchronizewi

Page 10 - DCFIFO SCFIFO

Recovery and Removal Timing Violation Warnings when Compiling a DCFIFO IPCoreDuring compilation of a design that contains a DCFIFO IP core, the Quartu

Page 11 - 2014.12.17

Figure 5: Writing 8-Bit Words and Reading 16-Bit WordsThis figure shows an example of a narrow write port (8-bit input) with a wide read port (16-bit

Page 12

SpecificationsVerilog HDL PrototypeYou can locate the Verilog HDL prototype in the Verilog Design File (.v) altera_mf.v in the <Quartus IIinstallat

Page 13

register. To ensure the q output is valid, sample the output only after the rdempty signal isdeasserted.Related InformationQuartus II TimeQuest Timing

Page 14 - Group Setting Comment

Design ExampleIn this design example, the data from the ROM is required to be transferred to the RAM. Assuming theROM and RAM are driven by non-relate

Page 15

Figure 7: Initial Write Operation to the DCFIFO IP CoreTable 12: Initial Write Operation to the DCFIFO IP Core Waveform DescriptionState DescriptionID

Page 16 - Asynchronous Clear (aclr)

Figure 8: Initial Read Operation from the DCFIFO IP CoreTable 13: Initial Read Operation from the DCFIFO IP Core Waveform DescriptionState Description

Page 17

Figure 9: Write Operation when DCFIFO is FULLTable 14: Write Operation when DCFIFO is FULL Waveform DescriptionState DescriptionINCADRWhen the write c

Page 18

Table 15: Completion of Data Transfer from ROM to DCFIFO Waveform DescriptionState DescriptionWRITE When the write controller is in the WRITE state, a

Page 19 - Constraint Settings

Gray-Code Counter Transfer at the Clock Domain CrossingThis section describes the effect of the large skew between Gray-code counter bits transfers at

Page 20 - Related Information

Table 16: Document Revision HistoryDate Version ChangesDecember 2014 2014.12.17• Clarified that there are no minimum number ofclock cycles for aclr si

Page 21 - Design Example

Date Version ChangesJanuary 2010 6.1• Updated “Functional Timing Requirements”section.• Minor changes to the text.September 2009 6.0• Replaced “FIFO M

Page 22 - State Description

Table 2: Input and Output Ports DescriptionThis table lists the signals of the IP cores. The term “series” refers to all the device families of a part

Page 23

Port Type Required Descriptionwrreq (3)Input Yes Assert this signal to request for a write operation.Ensure that the following conditions are met:• Do

Page 24

Port Type Required Descriptionq (3)Output Yes Shows the data read from the read request operation.For the SCFIFO IP core and DCFIFO IP core, the wid

Page 25

Port Type Required Descriptionusedw (1)wrusedw (2), (4)rdusedw (2), (4)Output No Show the number of words stored in the FIFO.Ensure that the port wi

Page 26 - Document Revision History

Parameter Type RequiredDescriptionlpm_numwords Integer Yes Specifies the depths of the FIFO you require. The valuemust be at least 4.The value assigne

Page 27 - Date Version Changes

Parameter Type RequiredDescriptiondelay_rdusedw (5)delay_wrusedw(5)String No Specify the number of register stages that you want tointernally add to t

Page 28

Parameter Type RequiredDescriptionwrite_aclr_synch(5)String No Specifies whether or not to add a circuit that causes theaclr port to be internally syn

Related models: DCFIFO

Comments to this Manuals

No comments