Altera DE2-115 User Manual

Browse online or download User Manual for Unknown Altera DE2-115. Altera DE2-115 User manual

  • Download
  • Add to my manuals
  • Print
  • Page
    / 80
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 0
My First FPGA for
Altera DE2-115 Board
數位電路實驗
TA: 吳柏辰
Author: Trumen
Page view 0
1 2 3 4 5 6 ... 79 80

Summary of Contents

Page 1 - Altera DE2-115 Board

My First FPGA for Altera DE2-115 Board數位電路實驗TA: 吳柏辰Author: Trumen

Page 2 - • Assign The Device

Notepad++ (2/5)1012345

Page 3 - Complete Your Verilog

Notepad++ (3/5)11Column Mode Editing1. Alt + Mouse dragging2. Alt + Shift + Arrow keys

Page 4 - It is a 10 seconds

Notepad++ (4/5)121234

Page 6 - // countdown

Assign The Device14

Page 7 - // 7-segment Displays

Introduction to FPGA (1/3)• A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a designer after manufacturin

Page 8

Introduction to FPGA (2/3)• FPGAs contain programmable logic components called "logic blocks", and a hierarchy of reconfigurable interconnec

Page 9 - Notepad++ (1/5)

Introduction to FPGA (3/3)• Xilinx and Altera are the current FPGA market leaders and long-time industry rivals.• Both Xilinx and Altera provide free

Page 10 - Notepad++ (2/5)

Altera's Main FPGA Products• Stratix series FPGAs are the largest, highest bandwidth devices, with up to 1.1 million logic elements.• Cyclone ser

Page 11 - Notepad++ (3/5)

Altera® Development Kits• Development kits include software, reference designs, cables, and programming hardware (development board).19http://www.alte

Page 12 - Notepad++ (4/5)

Outline• Complete Your Verilog Design • Assign The Device• Add a PLL Megafunction• Assign the Pins• Create a Default TimeQuest SDC File• Compile and V

Page 13 - Notepad++ (5/5)

Installed The USB-Blaster driver (1/3)• Plug in the 12-volt adapter to provide power to the board. • Use the USB cable to connect the leftmost USB con

Page 14 - Assign The Device

Installed The USB-Blaster driver (2/3)• The computer will recognize the new hardware connected to its USB port.• But it will be unable to proceed if i

Page 15 - Introduction to FPGA (1/3)

Installed The USB-Blaster driver (3/3)• If the New Hardware Wizard does not appear, check the website below ⇓22http://www.altera.com/download/drivers/

Page 16 - Introduction to FPGA (2/3)

Setup Licensing (1/2)2312

Page 17 - Introduction to FPGA (3/3)

Setup Licensing (2/2)2412Make sure these items appear, and now you can compile your design.Only for IP 140.112.*.*

Page 18

Create a New Project25123

Page 19 - Altera® Development Kits

26123same as (top-level) file name

Page 23 - Setup Licensing (1/2)

Complete Your Verilog Design 3

Page 26

Add a PLL Megafunction32

Page 27

Using Quartus Add a PLL Megafunction• A PLL uses the on-board oscillator (50 MHz for DE2-115 Board) to create a constant clock frequency as the input

Page 31

37123

Page 32 - Megafunction

38123for DE2-115

Page 33 - Using Quartus Add a PLL

39Uncheck all the options1

Page 34

exp1_traffic.v (1/5)4module exp1_traffic (clk, rst_n,pause, HEX0);//==== parameter definition ===============================// for finite state mach

Page 40

Assign the Pins45

Page 41

Assign the Pins• Before making pin assignments…46123

Page 43

48Type “M23”, then push Enter12Now, you are finished creating your Quartus II design!for DE2-115

Page 44

Create a Default TimeQuest SDC File49

Page 45 - Assign the Pins

exp1_traffic.v (2/5)5//==== reg/wire declaration ================================//-------- output --------------------------------------reg [6:0] HEX

Page 46

Create a Default TimeQuestSDC File• Timing settings are critically important for a successful design. • For this tutorial you will create a basic Syno

Page 49 - TimeQuest SDC File

53create_clock -period 20 [get_ports clk]create_clock -period 62.5 -name clk_16derive_pll_clocksderive_clock_uncertaintyset_input_delay 0 -clock clk_1

Page 51

Compile and Verify Your Design55

Page 52

Compile Your Design• After creating your design you must compile it. • Compilation converts the design into a bitstream that can be downloaded into th

Page 54

Compilation Report• Make sure there is no error.58

Page 55 - Your Design

Program the FPGA Device• After compiling and verifying your design you are ready to program the FPGA on the development board. • You download the SOF

Page 56 - Compile Your Design

exp1_traffic.v (3/5)6// finite state machine (state)always@(*) begincase(state) S_NORMAL: beginif(pause==1) next_state = S_PAUSE;else next_state = S_N

Page 61

64~~Finish~~

Page 62

Demo Video• 10 seconds countdown system65

Page 63

Configuring the Cyclone IV E FPGA66

Page 64 - ~~Finish~~

Configuring the FPGA• JTAG programming• AS programming67In this method of programming, named after the IEEE standards Joint Test Action Group, the con

Page 65 - Demo Video

JTAG Chain (1/2)• To use JTAG interface for configuring FPGA device, the JTAG chain on DE2-115 must form a close loop that allows Quartus II programme

Page 66 - Cyclone IV E FPGA

JTAG Chain (2/2)• Shorting pin1 and pin2 on JP3 can disable the JTAG signals on HSMC connector that will form a close JTAG loop chain on DE2-115 board

Page 67 - Configuring the FPGA

exp1_traffic.v (4/5)7// 7-segment Displaysalways@(*) begincase(countdown)7'd0: next_HEX0 = 7'b1000000;7'd1: next_HEX0 = 7'b1111001

Page 68 - JTAG Chain (1/2)

Configuring the FPGA in JTAG Mode (1/2)• This figure illustrates the JTAG configuration setup.70

Page 69 - JTAG Chain (2/2)

Configuring the FPGA in JTAG Mode (2/2)1. Ensure that power is applied to the DE2-115 board.2. Configure the JTAG programming circuit by setting the R

Page 70 - JTAG Mode (1/2)

Configuring the EPCS64 in AS Mode (1/2)• This figure illustrates the AS configuration setup.72

Page 71 - JTAG Mode (2/2)

Configuring the EPCS64 in AS Mode (2/2)1. Ensure that power is applied to the DE2-115 board.2. Connect the supplied USB cable to the USB Blaster port

Page 72 - AS Mode (1/2)

Programmer Object File• Programmer Object File is a binary file (with the extension .pof) containing the data for programming a configuration device.•

Page 73 - AS Mode (2/2)

12755693for DE2-115478

Page 76

78~~Finish~~

Page 77

The End.Any question?

Page 78

exp1_traffic.v (5/5)8//==== sequential part ===================================== always@( posedge clk_16 or negedge rst_n ) beginif( rst_n==0 ) begi

Page 79 - The End

Reference1. http://en.wikipedia.org/wiki/Field-programmable_gate_array2. "My First FPGA for Altera DE2-115 Board" by Terasic Technologies In

Page 80 - Reference

Notepad++ (1/5)9Highlight123

Comments to this Manuals

No comments