Altera Quartus II User Manual Page 3

  • Download
  • Add to my manuals
  • Print
  • Page
    / 6
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 2
a. On the Process menu, click Start
Analysis & Synthesis to build a netlist in
preparation for TimeQuest timing analyzer use.
b. On the Tools menu, click
TimeQuest Timing
Analyzer to launch TimeQuest analyzer.
i. On the Netlist menu, click
Create Timing
Netlist and select
Post-map to
create the timing netlist with timing delay information.
ii. Specify your design timing constraints using the analyzer’s graphical user interface
(GUI) or by using the Synopsys Design Constraint (SDC) text editor.
c. On the Assignment menu, click
Timing Analysis Settings to specify TimeQuest
analyzer as the timing analysis tool and to add your SDC file to the project.
Quartus II
Assignment menu provides all settings and assignments for the project.
2
Run the TimeQuest Timing Analyzer
Page view 2
1 2 3 4 5 6

Comments to this Manuals

No comments