Altera Quartus II Scripting User Manual

Browse online or download User Manual for Measuring instruments Altera Quartus II Scripting. Altera Quartus II Scripting User Manual

  • Download
  • Add to my manuals
  • Print
  • Page
    / 634
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 0
101 Innovation Drive
San Jose, CA 95134
www.altera.com
Quartus II Scripting Reference Manual
For Command-Line Operation & Tool Command Language (Tcl) Scripting
MNL-Q2101904-9.1.1
Page view 0
1 2 3 4 5 6 ... 633 634

Summary of Contents

Page 1 - San Jose, CA 95134

101 Innovation DriveSan Jose, CA 95134www.altera.comQuartus II Scripting Reference ManualFor Command-Line Operation & Tool Command Language (Tcl)

Page 2 - MNL-Q2101904-9.1.1

x ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporationbackannotate . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

Page 3 - Contents

2–74 Chapter 2: Command-line Executablesquartus_simQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_simThe Quartus® II Simu

Page 4

Chapter 2: Command-line Executables 2–75quartus_sim© July 2013 Altera Corporation Quartus II Scripting Reference ManualOption Page-c=<revision name

Page 5 - Contents v

2–76 Chapter 2: Command-line Executablesquartus_simQuartus II Scripting Reference Manual © July 2013 Altera Corporation-c=<revision name>Refer t

Page 6

Chapter 2: Command-line Executables 2–77quartus_sim© July 2013 Altera Corporation Quartus II Scripting Reference Manual--pvt_temperature=<value_in_

Page 7 - Contents vii

2–78 Chapter 2: Command-line Executablesquartus_simQuartus II Scripting Reference Manual © July 2013 Altera Corporation--vector_comparison_rule_value_

Page 8

Chapter 2: Command-line Executables 2–79quartus_sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_staThe TimeQuest timing

Page 9 - Contents ix

2–80 Chapter 2: Command-line Executablesquartus_staQuartus II Scripting Reference Manual © July 2013 Altera CorporationHelp Topic PageTcl ...

Page 10

Chapter 2: Command-line Executables 2–81quartus_sta© July 2013 Altera Corporation Quartus II Scripting Reference Manual--report_script=<NONE>Nam

Page 11 - Contents xi

2–82 Chapter 2: Command-line Executablesquartus_staQuartus II Scripting Reference Manual © July 2013 Altera Corporationproject_opencreate_timieng_netl

Page 12

Chapter 2: Command-line Executables 2–83quartus_stp© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_stpThe Quartus II Signa

Page 13 - Contents xiii

Contents xi© July 2013 Altera Corporation Quartus II Scripting Reference Manualexport_database . . . . . . . . . . . . . . . . . . . . . . . . . . .

Page 14

2–84 Chapter 2: Command-line Executablesquartus_stpQuartus II Scripting Reference Manual © July 2013 Altera CorporationOption Page-c=<revision name

Page 15 - Contents xv

Chapter 2: Command-line Executables 2–85quartus_stp© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample:quartus_stp <project

Page 16

2–86 Chapter 2: Command-line Executablesquartus_tanQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_tanThe Quartus® II timi

Page 17 - About this Reference Manual

Chapter 2: Command-line Executables 2–87quartus_tan© July 2013 Altera Corporation Quartus II Scripting Reference ManualOption Page-c=<revision name

Page 18 - Typographic Conventions

2–88 Chapter 2: Command-line Executablesquartus_tanQuartus II Scripting Reference Manual © July 2013 Altera Corporation--check_constraints[=<setup|

Page 19 - Reference Manual

Chapter 2: Command-line Executables 2–89quartus_tan© July 2013 Altera Corporation Quartus II Scripting Reference Manual--do_min_analysis[=on|off]Optio

Page 20 - Command-Line Executables

2–90 Chapter 2: Command-line Executablesquartus_tanQuartus II Scripting Reference Manual © July 2013 Altera CorporationExamplesquartus_map top --famil

Page 21 - Overview

Chapter 2: Command-line Executables 2–91quartus_tan© July 2013 Altera Corporation Quartus II Scripting Reference ManualExamplequartus_map top --family

Page 22

2–92 Chapter 2: Command-line ExecutablesCommon OptionsQuartus II Scripting Reference Manual © July 2013 Altera CorporationCommon OptionsAll command-li

Page 23 - Tcl Commands

Chapter 2: Command-line Executables 2–93Common Options© July 2013 Altera Corporation Quartus II Scripting Reference Manual--help[=<option|topic>

Page 24 - Tcl Console

xii ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporationdevice_ir_shift . . . . . . . . . . . . . . . . . . . . . . . . . . .

Page 25 - Related Documentation

2–94 Chapter 2: Command-line ExecutablesCommon OptionsQuartus II Scripting Reference Manual © July 2013 Altera Corporation############################

Page 26

Chapter 2: Command-line Executables 2–95Common Options© July 2013 Altera Corporation Quartus II Scripting Reference Manualreturn_codesQuartus® II comm

Page 27 - 2. Command-line Executables

2–96 Chapter 2: Command-line ExecutablesCompiler OptionsQuartus II Scripting Reference Manual © July 2013 Altera CorporationCompiler OptionsCommand-li

Page 28

Chapter 2: Command-line Executables 2–97Parallel Processing Options© July 2013 Altera Corporation Quartus II Scripting Reference ManualParallel Proces

Page 29

2–98 Chapter 2: Command-line ExecutablesSettings File OptionsQuartus II Scripting Reference Manual © July 2013 Altera CorporationSettings File Options

Page 30

Chapter 2: Command-line Executables 2–99Settings File Options© July 2013 Altera Corporation Quartus II Scripting Reference ManualTable 2–2 lists the l

Page 31

2–100 Chapter 2: Command-line ExecutablesSettings File OptionsQuartus II Scripting Reference Manual © July 2013 Altera Corporation--write_settings_fil

Page 32

Chapter 2: Command-line Executables 2–101Settings File Options© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe following exampl

Page 33 - --generate_hc_pll_delay

2–102 Chapter 2: Command-line ExecutablesTcl OptionsQuartus II Scripting Reference Manual © July 2013 Altera CorporationTcl OptionsCommand-line execut

Page 34 - --hc_ready

Chapter 2: Command-line Executables 2–103Tcl Options© July 2013 Altera Corporation Quartus II Scripting Reference Manual--tcl_eval=<tcl command>

Page 35 - --hc_review

Contents xiii© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_top_level_entity . . . . . . . . . . . . . . . . . . . . . . . .

Page 36

2–104 Chapter 2: Command-line ExecutablesTcl OptionsQuartus II Scripting Reference Manual © July 2013 Altera Corporation

Page 37

© July 2013 Altera Corporation Quartus II Scripting Reference Manual3. Tcl Packages & CommandsCommand Name Package Pageadd_new_cell chip_planner 3

Page 38

3–2 Chapter 3: Tcl Packages & CommandsQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_report_histogram sta 3–379create_

Page 39

Chapter 3: Tcl Packages & Commands 3–3© July 2013 Altera Corporation Quartus II Scripting Reference Manualenable_natural_bus_naming misc 3–169enab

Page 40 - --post_map[=on

3–4 Chapter 3: Tcl Packages & CommandsQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_clocks sdc 3–293get_clocks timing_as

Page 41 - --write_rcf_for_vqm[=on

Chapter 3: Tcl Packages & Commands 3–5© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_node_loc chip_planner 3–57get_nodes

Page 42

3–6 Chapter 3: Tcl Packages & CommandsQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_rtl_pin_info rtl 3–277get_rtl_pins r

Page 43

Chapter 3: Tcl Packages & Commands 3–7© July 2013 Altera Corporation Quartus II Scripting Reference Manualopen_session stp 3–469p2p_timing_cut_exi

Page 44

3–8 Chapter 3: Tcl Packages & CommandsQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_output_delay sdc 3–303remove_outp

Page 45 - --option=<filename>

Chapter 3: Tcl Packages & Commands 3–9© July 2013 Altera Corporation Quartus II Scripting Reference Manualsave_report_database report 3–266set_act

Page 46 - --voltage=<voltage>

xiv ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporationall_inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

Page 47 - String Description

3–10 Chapter 3: Tcl Packages & CommandsQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_timing_cut_assignment timing_assign

Page 48

Chapter 3: Tcl Packages & Commands 3–11advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualadvanced_timingThis adva

Page 49

3–12 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_p2p_delaysUsagecr

Page 50 - Examples

Chapter 3: Tcl Packages & Commands 3–13advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_clock_delay_pathUsag

Page 51

3–14 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_delay_pathUsageget_d

Page 52 - --post_fit[=on

Chapter 3: Tcl Packages & Commands 3–15advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_delays_from_clocksUs

Page 53

3–16 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_delays_from_keepersU

Page 54

Chapter 3: Tcl Packages & Commands 3–17advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_illegal_delay_valueU

Page 55 - --format=<NONE>

3–18 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_max_delay_valueUsage

Page 56 - --resynthesis[=on

Chapter 3: Tcl Packages & Commands 3–19advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_timing_edge_delayUsa

Page 57 - --timing_analysis[=on

Contents xv© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_simulation_breakpoint . . . . . . . . . . . . . . . . . . . .

Page 58

3–20 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_timing_edge_infoUsag

Page 59 - --vcd_type=<NONE>

Chapter 3: Tcl Packages & Commands 3–21advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_timing_edgesUsageget

Page 60

3–22 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_timing_node_faninUsa

Page 61 - --check_netlist

Chapter 3: Tcl Packages & Commands 3–23advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_timing_node_fanoutUs

Page 62 - --inner_num=<value>

3–24 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_timing_node_infoUsag

Page 63 - --part=<device part>

Chapter 3: Tcl Packages & Commands 3–25advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference ManualExampleload_package adva

Page 64 - --tdc[=on

3–26 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_timing_nodesUsageget

Page 65

Chapter 3: Tcl Packages & Commands 3–27advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualis_legal_delay_valueUsag

Page 66

3–28 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationp2p_timing_cut_existUsag

Page 67 - --compress

Chapter 3: Tcl Packages & Commands 3–29backannotate© July 2013 Altera Corporation Quartus II Scripting Reference ManualbackannotateThis package co

Page 68 - -a=<action to perform>

xvi ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_from_collection . . . . . . . . . . . . . . . . . . . . . . .

Page 69

3–30 Chapter 3: Tcl Packages & CommandsbackannotateQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_back_annotation_assignm

Page 70 - --loquacious

Chapter 3: Tcl Packages & Commands 3–31backannotate© July 2013 Altera Corporation Quartus II Scripting Reference Manuallogiclock_back_annotateUsag

Page 71

3–32 Chapter 3: Tcl Packages & CommandsbackannotateQuartus II Scripting Reference Manual © July 2013 Altera CorporationAltera recommends that you

Page 72

Chapter 3: Tcl Packages & Commands 3–33chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualchip_plannerThis package co

Page 73

3–34 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera CorporationCommand Pageadd_new_cell...

Page 74 - --partition=<NONE>

Chapter 3: Tcl Packages & Commands 3–35chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualadd_new_cellUsageadd_new_ce

Page 75 - --verilog_macro=<NONE>

3–36 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationadd_new_ioUsageadd_new_io -

Page 76

Chapter 3: Tcl Packages & Commands 3–37chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualadd_usageUsageadd_usage [-g

Page 77

3–38 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationapply_commandUsageapply_com

Page 78 - --initcfg

Chapter 3: Tcl Packages & Commands 3–39chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualcheck_netlist_and_saveUsage

Page 79

© July 2013 Altera Corporation Quartus II Scripting Reference ManualAbout this Reference ManualThis manual provides comprehensive information about th

Page 80

3–40 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationcheck_nodeUsagecheck_node [

Page 81 - --estimate_power[=on

Chapter 3: Tcl Packages & Commands 3–41chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualclose_chip_plannerUsageclos

Page 82 - --no_input_file

3–42 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationconnect_chainUsageconnect_c

Page 83

Chapter 3: Tcl Packages & Commands 3–43chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualconvert_signal_probesUsagec

Page 84

3–44 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_migrated_scriptUsage

Page 85 - --archive

Chapter 3: Tcl Packages & Commands 3–45chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_spUsagedelete_sp -pi

Page 86 - --determine_smart_action

3–46 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationdesign_has_ace_supportUsage

Page 87

Chapter 3: Tcl Packages & Commands 3–47chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualdesign_has_encrypted_ipUsag

Page 88

3–48 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationdisable_spUsagedisable_sp -

Page 89

Chapter 3: Tcl Packages & Commands 3–49chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualdiscard_all_changesUsagedis

Page 90

xviii About this Reference ManualTypographic ConventionsQuartus II Scripting Reference Manual © July 2013 Altera CorporationTypographic ConventionsTh

Page 91

3–50 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationdiscard_node_changesUsagedi

Page 92 - --qboard

Chapter 3: Tcl Packages & Commands 3–51chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualenable_spUsageenable_sp -pi

Page 93 - --qslave

3–52 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationexport_stack_toUsageexport_

Page 94 - --restore

Chapter 3: Tcl Packages & Commands 3–53chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_info_parametersUsageget

Page 95 - --simlib_comp

3–54 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_iportsUsageget_iports [

Page 96

Chapter 3: Tcl Packages & Commands 3–55chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_node_by_nameUsageget_no

Page 97

3–56 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_node_infoUsageget_node_

Page 98 - --bank=<bank index>

Chapter 3: Tcl Packages & Commands 3–57chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_node_locUsageget_node_l

Page 99 - --sso_inputs[=on

3–58 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_nodesUsageget_nodes -ty

Page 100

Chapter 3: Tcl Packages & Commands 3–59chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_oportsUsageget_oports [

Page 101

© July 2013 Altera Corporation Quartus II Scripting Reference Manual1. Introduction to the Quartus II ScriptingReference ManualIntroductionThe Quartus

Page 102 - Value Description

3–60 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_port_by_typeUsageget_po

Page 103

Chapter 3: Tcl Packages & Commands 3–61chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_port_infoUsageget_port_

Page 104

3–62 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_sp_pin_listUsageget_sp_

Page 105

Chapter 3: Tcl Packages & Commands 3–63chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_stackUsageget_stack [-l

Page 106

3–64 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_tile_power_settingUsage

Page 107 - --speed=<NONE>

Chapter 3: Tcl Packages & Commands 3–65chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manuallist_spsUsagelist_spsOption

Page 108 - --voltage=<value_in_mV>

3–66 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationmake_ape_connectionUsagemak

Page 109

Chapter 3: Tcl Packages & Commands 3–67chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualmake_input_portUsagemake_in

Page 110 - -c=<revision name>

3–68 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationmake_output_portUsagemake_o

Page 111

Chapter 3: Tcl Packages & Commands 3–69chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualmake_spUsagemake_sp [-clk &

Page 112

Copyright © 2013 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device design

Page 113

1–2 Introduction to the Quartus II Scripting Reference ManualOverviewQuartus II Scripting Reference Manual © July 2013 Altera Corporation Incorporati

Page 114 - --delay_annotation_only

3–70 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationread_netlistUsageread_netli

Page 115

Chapter 3: Tcl Packages & Commands 3–71chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_ape_connectionUsager

Page 116 - --timing_analysis_only

3–72 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_chainUsageremove_cha

Page 117 - --zero_ic_delays

Chapter 3: Tcl Packages & Commands 3–73chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_input_portUsageremov

Page 118 - -f=<argument file>

3–74 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_old_cellUsageremove_

Page 119 - --version

Chapter 3: Tcl Packages & Commands 3–75chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_output_portUsageremo

Page 120 - Common Options

3–76 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_usageUsageremove_usa

Page 121 - 0 Execution was successful

Chapter 3: Tcl Packages & Commands 3–77chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualrouting_pathUsagerouting_pa

Page 122 - --rev=<revision name>

3–78 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_batch_modeUsageset_batc

Page 123 - -p[=on

Chapter 3: Tcl Packages & Commands 3–79chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_node_infoUsageset_node_

Page 124 - Settings File Options

Introduction to the Quartus II Scripting Reference Manual 1–3Overview© July 2013 Altera Corporation Quartus II Scripting Reference ManualAssemblerquar

Page 125

3–80 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_port_infoUsageset_port_

Page 126

Chapter 3: Tcl Packages & Commands 3–81chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_tile_power_settingUsage

Page 127 - 2. Compiler database

3–82 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationundo_commandUsageundo_comma

Page 128 - --script=<script file>

Chapter 3: Tcl Packages & Commands 3–83chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualupdate_node_locUsageupdate_

Page 129 - Tcl Options

3–84 Chapter 3: Tcl Packages & Commandsdatabase_managerQuartus II Scripting Reference Manual © July 2013 Altera Corporationdatabase_managerThis pa

Page 130

Chapter 3: Tcl Packages & Commands 3–85database_manager© July 2013 Altera Corporation Quartus II Scripting Reference Manualexport_databaseUsageexp

Page 131

3–86 Chapter 3: Tcl Packages & Commandsdatabase_managerQuartus II Scripting Reference Manual © July 2013 Altera Corporationgenerate_bottom_up_scri

Page 132

Chapter 3: Tcl Packages & Commands 3–87database_manager© July 2013 Altera Corporation Quartus II Scripting Reference Manual-virtual_input_pin_dela

Page 133

3–88 Chapter 3: Tcl Packages & Commandsdatabase_managerQuartus II Scripting Reference Manual © July 2013 Altera Corporation-include_virtual_pins &

Page 134

Chapter 3: Tcl Packages & Commands 3–89database_manager© July 2013 Altera Corporation Quartus II Scripting Reference ManualDefault is on.When you

Page 135

1–4 Introduction to the Quartus II Scripting Reference ManualOverviewQuartus II Scripting Reference Manual © July 2013 Altera CorporationEDA Netlist W

Page 136

3–90 Chapter 3: Tcl Packages & Commandsdatabase_managerQuartus II Scripting Reference Manual © July 2013 Altera Corporationimport_databaseUsageimp

Page 137

Chapter 3: Tcl Packages & Commands 3–91device© July 2013 Altera Corporation Quartus II Scripting Reference ManualdeviceThis package contains the s

Page 138

3–92 Chapter 3: Tcl Packages & CommandsdeviceQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_family_listUsageget_family_li

Page 139

Chapter 3: Tcl Packages & Commands 3–93device© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_part_infoUsageget_part_info [

Page 140

3–94 Chapter 3: Tcl Packages & CommandsdeviceQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_part_listUsageget_part_list [

Page 141 - ■ quartus_tan

Chapter 3: Tcl Packages & Commands 3–95device© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_device_infoUsagereport_dev

Page 142

3–96 Chapter 3: Tcl Packages & CommandsdeviceQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_family_infoUsagereport_fam

Page 143

Chapter 3: Tcl Packages & Commands 3–97device© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_part_infoUsagereport_part_

Page 144

3–98 Chapter 3: Tcl Packages & CommandsflowQuartus II Scripting Reference Manual © July 2013 Altera CorporationflowThis package contains the set o

Page 145 - Description

Chapter 3: Tcl Packages & Commands 3–99flow© July 2013 Altera Corporation Quartus II Scripting Reference Manualexecute_flowUsageexecute_flow [-ana

Page 146

Introduction to the Quartus II Scripting Reference Manual 1–5Overview© July 2013 Altera Corporation Quartus II Scripting Reference ManualTcl CommandsT

Page 147

3–100 Chapter 3: Tcl Packages & CommandsflowQuartus II Scripting Reference Manual © July 2013 Altera CorporationINCREMENTAL_COMPILATION_EXPORT_NET

Page 148

Chapter 3: Tcl Packages & Commands 3–101flow© July 2013 Altera Corporation Quartus II Scripting Reference Manualexecute_hcUsageexecute_hc [-archiv

Page 149

3–102 Chapter 3: Tcl Packages & CommandsflowQuartus II Scripting Reference Manual © July 2013 Altera Corporation# Generate a HardCopy Handoff Repo

Page 150

Chapter 3: Tcl Packages & Commands 3–103flow© July 2013 Altera Corporation Quartus II Scripting Reference Manualexecute_moduleUsageexecute_module

Page 151

3–104 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationincremental_com

Page 152

Chapter 3: Tcl Packages & Commands 3–105incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualauto_partition_

Page 153

3–106 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera CorporationExample## Parti

Page 154

Chapter 3: Tcl Packages & Commands 3–107incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_partitio

Page 155

3–108 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_all_logi

Page 156

Chapter 3: Tcl Packages & Commands 3–109incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_all_part

Page 157

1–6 Introduction to the Quartus II Scripting Reference ManualOverviewQuartus II Scripting Reference Manual © July 2013 Altera CorporationTable 3 lists

Page 158

3–110 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_logicloc

Page 159 - ■ quartus_cdb

Chapter 3: Tcl Packages & Commands 3–111incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_partitio

Page 160

3–112 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationexport_partitio

Page 161

Chapter 3: Tcl Packages & Commands 3–113incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_logiclockUs

Page 162

3–114 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_logiclock_c

Page 163

Chapter 3: Tcl Packages & Commands 3–115incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_partitionUs

Page 164

3–116 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_partition_f

Page 165

Chapter 3: Tcl Packages & Commands 3–117incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualimport_partitio

Page 166

3–118 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera CorporationExamplepackage

Page 167

Chapter 3: Tcl Packages & Commands 3–119incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualpartition_netli

Page 168

Introduction to the Quartus II Scripting Reference Manual 1–7Related Documentation© July 2013 Altera Corporation Quartus II Scripting Reference Manual

Page 169

3–120 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_logiclockUs

Page 170

Chapter 3: Tcl Packages & Commands 3–121incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_logiclock_c

Page 171

3–122 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera CorporationYou can use the

Page 172

Chapter 3: Tcl Packages & Commands 3–123incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_partitionUs

Page 173

3–124 Chapter 3: Tcl Packages & Commandsinsystem_memory_editQuartus II Scripting Reference Manual © July 2013 Altera Corporationinsystem_memory_ed

Page 174

Chapter 3: Tcl Packages & Commands 3–125insystem_memory_edit© July 2013 Altera Corporation Quartus II Scripting Reference Manualbegin_memory_editU

Page 175

3–126 Chapter 3: Tcl Packages & Commandsinsystem_memory_editQuartus II Scripting Reference Manual © July 2013 Altera Corporationend_memory_editUsa

Page 176

Chapter 3: Tcl Packages & Commands 3–127insystem_memory_edit© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_editable_mem_i

Page 177

3–128 Chapter 3: Tcl Packages & Commandsinsystem_memory_editQuartus II Scripting Reference Manual © July 2013 Altera Corporationread_content_from_

Page 178

Chapter 3: Tcl Packages & Commands 3–129insystem_memory_edit© July 2013 Altera Corporation Quartus II Scripting Reference Manualsave_content_from_

Page 179

1–8 Introduction to the Quartus II Scripting Reference ManualRelated DocumentationQuartus II Scripting Reference Manual © July 2013 Altera Corporation

Page 180

3–130 Chapter 3: Tcl Packages & Commandsinsystem_memory_editQuartus II Scripting Reference Manual © July 2013 Altera Corporationupdate_content_to_

Page 181

Chapter 3: Tcl Packages & Commands 3–131insystem_memory_edit© July 2013 Altera Corporation Quartus II Scripting Reference Manualwrite_content_to_m

Page 182 - <position>: Position

3–132 Chapter 3: Tcl Packages & Commandsinsystem_source_probeQuartus II Scripting Reference Manual © July 2013 Altera Corporationinsystem_source_p

Page 183

Chapter 3: Tcl Packages & Commands 3–133insystem_source_probe© July 2013 Altera Corporation Quartus II Scripting Reference Manualend_insystem_sour

Page 184

3–134 Chapter 3: Tcl Packages & Commandsinsystem_source_probeQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_insystem_sour

Page 185

Chapter 3: Tcl Packages & Commands 3–135insystem_source_probe© July 2013 Altera Corporation Quartus II Scripting Reference Manualread_probe_dataUs

Page 186

3–136 Chapter 3: Tcl Packages & Commandsinsystem_source_probeQuartus II Scripting Reference Manual © July 2013 Altera Corporationread_source_dataU

Page 187

Chapter 3: Tcl Packages & Commands 3–137insystem_source_probe© July 2013 Altera Corporation Quartus II Scripting Reference Manualstart_insystem_so

Page 188

3–138 Chapter 3: Tcl Packages & Commandsinsystem_source_probeQuartus II Scripting Reference Manual © July 2013 Altera Corporationwrite_source_data

Page 189

Chapter 3: Tcl Packages & Commands 3–139iptclgen© July 2013 Altera Corporation Quartus II Scripting Reference ManualiptclgenThis package contains

Page 190

© July 2013 Altera Corporation Quartus II Scripting Reference Manual2. Command-line Executablesquartus_asmThe Quartus® II Assembler generates a device

Page 191

3–140 Chapter 3: Tcl Packages & CommandsiptclgenQuartus II Scripting Reference Manual © July 2013 Altera Corporationcompute_pllUsagecompute_pll -f

Page 192

Chapter 3: Tcl Packages & Commands 3–141iptclgen© July 2013 Altera Corporation Quartus II Scripting Reference Manualgenerate_vhdl_simgen_modelUsag

Page 193

3–142 Chapter 3: Tcl Packages & CommandsiptclgenQuartus II Scripting Reference Manual © July 2013 Altera Corporationparse_hdlUsageparse_hdl -core_

Page 194

Chapter 3: Tcl Packages & Commands 3–143iptclgen© July 2013 Altera Corporation Quartus II Scripting Reference Manualparse_tclUsageparse_tcl [-core

Page 195

3–144 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera CorporationjtagThis package contains the set

Page 196

Chapter 3: Tcl Packages & Commands 3–145jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualclose_deviceUsageclose_deviceOptio

Page 197

3–146 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporationdevice_dr_shiftUsagedevice_dr_shif

Page 198

Chapter 3: Tcl Packages & Commands 3–147jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manual# IR and DR shift should be locked

Page 199

3–148 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporationdevice_ir_shiftUsagedevice_ir_shif

Page 200

Chapter 3: Tcl Packages & Commands 3–149jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manual# Close deviceclose_device

Page 201

2–2 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_cdbThe Quartus® II Compi

Page 202

3–150 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporationdevice_lockUsagedevice_lock -timeo

Page 203

Chapter 3: Tcl Packages & Commands 3–151jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualdevice_run_test_idleUsagedevice_ru

Page 204

3–152 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporationdevice_unlockUsagedevice_unlockOpt

Page 205

Chapter 3: Tcl Packages & Commands 3–153jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualdevice_virtual_dr_shiftUsagedevice

Page 206

3–154 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporation# The follow virtual JTAG IR and D

Page 207

Chapter 3: Tcl Packages & Commands 3–155jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualdevice_virtual_ir_shiftUsagedevice

Page 208

3–156 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporation# number of sample performed.# FEE

Page 209

Chapter 3: Tcl Packages & Commands 3–157jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_device_namesUsageget_device_na

Page 210

3–158 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_hardware_namesUsageget_hardwar

Page 211

Chapter 3: Tcl Packages & Commands 3–159jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualopen_deviceUsageopen_device -devic

Page 212

Chapter 2: Command-line Executables 2–3quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference ManualOption Page-c=<revision name&

Page 213

3–160 Chapter 3: Tcl Packages & Commandslogic_analyzer_interfaceQuartus II Scripting Reference Manual © July 2013 Altera Corporationlogic_analyzer

Page 214

Chapter 3: Tcl Packages & Commands 3–161logic_analyzer_interface© July 2013 Altera Corporation Quartus II Scripting Reference Manualbegin_logic_an

Page 215

3–162 Chapter 3: Tcl Packages & Commandslogic_analyzer_interfaceQuartus II Scripting Reference Manual © July 2013 Altera Corporationchange_bank_to

Page 216

Chapter 3: Tcl Packages & Commands 3–163logic_analyzer_interface© July 2013 Altera Corporation Quartus II Scripting Reference Manualend_logic_anal

Page 217

3–164 Chapter 3: Tcl Packages & Commandslogic_analyzer_interfaceQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_current_st

Page 218

Chapter 3: Tcl Packages & Commands 3–165logic_analyzer_interface© July 2013 Altera Corporation Quartus II Scripting Reference Manualtristate_outpu

Page 219

3–166 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera CorporationmiscThis package contains a set of

Page 220

Chapter 3: Tcl Packages & Commands 3–167misc© July 2013 Altera Corporation Quartus II Scripting Reference ManualchecksumUsagechecksum [-algorithm

Page 221

3–168 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationdisable_natural_bus_namingUsagedis

Page 222

Chapter 3: Tcl Packages & Commands 3–169misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualenable_natural_bus_namingUsageenab

Page 223

© July 2013 Altera Corporation Quartus II Scripting Reference ManualContentsAbout this Reference ManualRevision History . . . . . . . . . . . . . .

Page 224

2–4 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera Corporation--set=<assignment=value> .

Page 225 - <device>: Device name

3–170 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationescape_bracketsUsageescape_bracket

Page 226 - <family>: Family name

Chapter 3: Tcl Packages & Commands 3–171misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset bus_name "address\[0\]&qu

Page 227 - <part>: Part name

3–172 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationforeach_in_collectionUsageforeach_

Page 228

Chapter 3: Tcl Packages & Commands 3–173misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualputs "Section ID ($sect_id)&q

Page 229

3–174 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationset file_location [get_name_info -

Page 230

Chapter 3: Tcl Packages & Commands 3–175misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_collection_sizeUsageget_collec

Page 231

3–176 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_environment_infoUsageget_envir

Page 232

Chapter 3: Tcl Packages & Commands 3–177misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualinit_tkUsageinit_tkOptionsNoneDesc

Page 233

3–178 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera CorporationloadUsageload <load_args>Opt

Page 234 - ■ quartus_sta

Chapter 3: Tcl Packages & Commands 3–179misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualload_packageUsageload_package [-ve

Page 235

Chapter 2: Command-line Executables 2–5quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference Manual--bottom_up_scripts_virtual_inpu

Page 236

3–180 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationpost_messageUsagepost_message [-fi

Page 237

Chapter 3: Tcl Packages & Commands 3–181misc© July 2013 Altera Corporation Quartus II Scripting Reference ManualqexecUsageqexec <command>Opt

Page 238

3–182 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera CorporationqexitUsageqexit [-error] [-success

Page 239

Chapter 3: Tcl Packages & Commands 3–183misc© July 2013 Altera Corporation Quartus II Scripting Reference ManualstopwatchUsagestopwatch [-lap_time

Page 240

3–184 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationprojectThis package contains th

Page 241

Chapter 3: Tcl Packages & Commands 3–185project© July 2013 Altera Corporation Quartus II Scripting Reference ManualCommand Pageassignment_group ..

Page 242

3–186 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationassignment_groupUsageassignment

Page 243

Chapter 3: Tcl Packages & Commands 3–187project© July 2013 Altera Corporation Quartus II Scripting Reference ManualThis command sets a multicycle

Page 244

3–188 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_revisionUsagecreate_revi

Page 245

Chapter 3: Tcl Packages & Commands 3–189project© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_revisionUsagedelete_revi

Page 246

2–6 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera Corporation ## Compile the HardCopy II re

Page 247

3–190 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationexecute_assignment_batchUsageex

Page 248

Chapter 3: Tcl Packages & Commands 3–191project© July 2013 Altera Corporation Quartus II Scripting Reference Manualexport_assignmentsUsageexport_a

Page 249

3–192 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_assignment_namesUsagege

Page 250

Chapter 3: Tcl Packages & Commands 3–193project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_all_assignmentsUsageget_all

Page 251

3–194 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationAll other uses of square bracke

Page 252

Chapter 3: Tcl Packages & Commands 3–195project© July 2013 Altera Corporation Quartus II Scripting Reference Manual} ## View all entity-specifi

Page 253

3–196 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_global_assignmentsUsage

Page 254 - ■ quartus_stp

Chapter 3: Tcl Packages & Commands 3–197project© July 2013 Altera Corporation Quartus II Scripting Reference ManualFor entity-specific assignments

Page 255

3–198 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_instance_assignmentsUsa

Page 256

Chapter 3: Tcl Packages & Commands 3–199project© July 2013 Altera Corporation Quartus II Scripting Reference ManualEach element of the collection

Page 257

Chapter 2: Command-line Executables 2–7quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference ManualOptional ContentAs mentioned abo

Page 258

3–200 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_parametersUsageget_all_

Page 259

Chapter 3: Tcl Packages & Commands 3–201project© July 2013 Altera Corporation Quartus II Scripting Reference ManualIf you tagged data by making as

Page 260

3–202 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_quartus_defaultsUsagege

Page 261

Chapter 3: Tcl Packages & Commands 3–203project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset name [lindex $default 1]set

Page 262

3–204 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_user_option_namesUsageg

Page 263

Chapter 3: Tcl Packages & Commands 3–205project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_assignment_infoUsageget_ass

Page 264

3–206 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_assignment_name_infoUsagege

Page 265

Chapter 3: Tcl Packages & Commands 3–207project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_current_revisionUsageget_cu

Page 266

3–208 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_global_assignmentUsageget_g

Page 267

Chapter 3: Tcl Packages & Commands 3–209project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_instance_assignmentUsageget

Page 268

2–8 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera CorporationUsagequartus_cdb <project>

Page 269

3–210 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_location_assignmentUsageget

Page 270

Chapter 3: Tcl Packages & Commands 3–211project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_name_infoUsageget_name_info

Page 271

3–212 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationExample# Get the name id of the

Page 272

Chapter 3: Tcl Packages & Commands 3–213project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_namesUsageget_names [-entit

Page 273

3–214 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationset target [get_name_info -info

Page 274

Chapter 3: Tcl Packages & Commands 3–215project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_parameterUsageget_parameter

Page 275

3–216 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_project_directoryUsageget_p

Page 276

Chapter 3: Tcl Packages & Commands 3–217project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_project_revisionsUsageget_p

Page 277

3–218 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_top_level_entityUsageget_to

Page 278

Chapter 3: Tcl Packages & Commands 3–219project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_user_optionUsageget_user_op

Page 279 - # Close device

Chapter 2: Command-line Executables 2–9quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference ManualUsagequartus_cdb <project>

Page 280

3–220 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationis_project_openUsageis_project_

Page 281

Chapter 3: Tcl Packages & Commands 3–221project© July 2013 Altera Corporation Quartus II Scripting Reference Manualproject_archiveUsageproject_arc

Page 282

3–222 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationproject_closeUsageproject_close

Page 283

Chapter 3: Tcl Packages & Commands 3–223project© July 2013 Altera Corporation Quartus II Scripting Reference Manualproject_existsUsageproject_exis

Page 284

3–224 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationproject_newUsageproject_new [-f

Page 285

Chapter 3: Tcl Packages & Commands 3–225project© July 2013 Altera Corporation Quartus II Scripting Reference Manualproject_openUsageproject_open [

Page 286

3–226 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationproject_restoreUsageproject_res

Page 287

Chapter 3: Tcl Packages & Commands 3–227project© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_all_global_assignmentsUs

Page 288

3–228 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationThese two Tcl commands reside i

Page 289

Chapter 3: Tcl Packages & Commands 3–229project© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_all_instance_assignments

Page 290

2–10 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera CorporationPost-synthesis files <direct

Page 291

3–230 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationFor entity-specific assignments

Page 292

Chapter 3: Tcl Packages & Commands 3–231project© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_all_parametersUsageremov

Page 293

3–232 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationThese two Tcl commands reside i

Page 294

Chapter 3: Tcl Packages & Commands 3–233project© July 2013 Altera Corporation Quartus II Scripting Reference Manualresolve_file_pathUsageresolve_f

Page 295

3–234 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationrevision_existsUsagerevision_ex

Page 296

Chapter 3: Tcl Packages & Commands 3–235project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_current_revisionUsageset_cu

Page 297

3–236 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_global_assignmentUsageset_g

Page 298 - # Disables natural bus naming

Chapter 3: Tcl Packages & Commands 3–237project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_instance_assignmentUsageset

Page 299

3–238 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_instance_assignment -from &

Page 300

Chapter 3: Tcl Packages & Commands 3–239project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_io_assignmentUsageset_io_as

Page 301

Chapter 2: Command-line Executables 2–11quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference ManualMakefiles are designed to work

Page 302

3–240 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_location_assignmentUsageset

Page 303

Chapter 3: Tcl Packages & Commands 3–241project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_parameterUsageset_parameter

Page 304

3–242 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporation The predefined Altera LPM_PIP

Page 305

Chapter 3: Tcl Packages & Commands 3–243project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_power_file_assignmentUsages

Page 306

3–244 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_power_file_assignment -vcd_

Page 307

Chapter 3: Tcl Packages & Commands 3–245project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_user_optionUsageset_user_op

Page 308

3–246 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationtest_assignment_traitUsagetest_

Page 309

Chapter 3: Tcl Packages & Commands 3–247report© July 2013 Altera Corporation Quartus II Scripting Reference ManualreportThis package contains a se

Page 310

3–248 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationadd_row_to_tableUsageadd_row_to_

Page 311 - <command>: Command

Chapter 3: Tcl Packages & Commands 3–249report© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_report_panelUsagecreate_r

Page 312

2–12 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera CorporationThis option is enabled by defau

Page 313

3–250 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationunload_reportproject_close

Page 314

Chapter 3: Tcl Packages & Commands 3–251report© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_report_panelUsagedelete_r

Page 315

3–252 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporation# Save the changes to the report

Page 316

Chapter 3: Tcl Packages & Commands 3–253report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_fitter_resource_usageUsagege

Page 317 - ■ export_assignments

3–254 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_number_of_columnsUsageget_nu

Page 318

Chapter 3: Tcl Packages & Commands 3–255report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_number_of_rowsUsageget_numbe

Page 319

3–256 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_report_panel_column_indexUsa

Page 320

Chapter 3: Tcl Packages & Commands 3–257report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_report_panel_dataUsageget_re

Page 321

3–258 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporation# Get row {Revision Name} - colu

Page 322

Chapter 3: Tcl Packages & Commands 3–259report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_report_panel_idUsageget_repo

Page 323

Chapter 2: Command-line Executables 2–13quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference Manual--incremental_compilation_expor

Page 324

3–260 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_report_panel_namesUsageget_r

Page 325

Chapter 3: Tcl Packages & Commands 3–261report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_report_panel_rowUsageget_rep

Page 326

3–262 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_report_panel_row_indexUsageg

Page 327

Chapter 3: Tcl Packages & Commands 3–263report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_timing_analysis_summary_resu

Page 328

3–264 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationload_reportUsageload_report [-si

Page 329

Chapter 3: Tcl Packages & Commands 3–265report© July 2013 Altera Corporation Quartus II Scripting Reference Manualread_xml_reportUsageread_xml_rep

Page 330

3–266 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationsave_report_databaseUsagesave_re

Page 331

Chapter 3: Tcl Packages & Commands 3–267report© July 2013 Altera Corporation Quartus II Scripting Reference Manualunload_reportUsageunload_reportO

Page 332

3–268 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationwrite_report_panelUsagewrite_rep

Page 333

Chapter 3: Tcl Packages & Commands 3–269report© July 2013 Altera Corporation Quartus II Scripting Reference Manualunload_reportproject_close

Page 334

iv ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporation--incremental_compilation_export_partition_name[=<name>] . . . .

Page 335

2–14 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera CorporationExamples## Run Analysis & S

Page 336

3–270 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationwrite_xml_reportUsagewrite_xml_r

Page 337

Chapter 3: Tcl Packages & Commands 3–271rtl© July 2013 Altera Corporation Quartus II Scripting Reference ManualrtlThis package contains the set of

Page 338

3–272 Chapter 3: Tcl Packages & CommandsrtlQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_rtl_cell_infoUsageget_rtl_cell_

Page 339

Chapter 3: Tcl Packages & Commands 3–273rtl© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_rtl_cellsUsageget_rtl_cells [-h

Page 340

3–274 Chapter 3: Tcl Packages & CommandsrtlQuartus II Scripting Reference Manual © July 2013 Altera Corporation puts [get_rtl_cell_info -name $

Page 341

Chapter 3: Tcl Packages & Commands 3–275rtl© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_rtl_faninsUsageget_rtl_fanins [

Page 342

3–276 Chapter 3: Tcl Packages & CommandsrtlQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_rtl_fanoutsUsageget_rtl_fanouts

Page 343

Chapter 3: Tcl Packages & Commands 3–277rtl© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_rtl_pin_infoUsageget_rtl_pin_in

Page 344

3–278 Chapter 3: Tcl Packages & CommandsrtlQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_rtl_pinsUsageget_rtl_pins [-hie

Page 345

Chapter 3: Tcl Packages & Commands 3–279rtl© July 2013 Altera Corporation Quartus II Scripting Reference Manual puts -nonewline ": "

Page 346

Chapter 2: Command-line Executables 2–15quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference Manual--update_mifOption to update me

Page 347

3–280 Chapter 3: Tcl Packages & CommandsrtlQuartus II Scripting Reference Manual © July 2013 Altera Corporationload_rtl_netlistUsageload_rtl_netli

Page 348

Chapter 3: Tcl Packages & Commands 3–281rtl© July 2013 Altera Corporation Quartus II Scripting Reference Manualunload_rtl_netlistUsageunload_rtl_n

Page 349

3–282 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera CorporationsdcSynopsys Design Constraint (SDC)

Page 350 - project_close

Chapter 3: Tcl Packages & Commands 3–283sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualall_clocksUsageall_clocksOptionsNon

Page 351

3–284 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationall_inputsUsageall_inputsOptionsNon

Page 352

Chapter 3: Tcl Packages & Commands 3–285sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualall_outputsUsageall_outputsOptionsN

Page 353

3–286 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationall_registersUsageall_registersOpti

Page 354

Chapter 3: Tcl Packages & Commands 3–287sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_clockUsagecreate_clock [-add

Page 355

3–288 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_generated_clockUsagecreate_g

Page 356

Chapter 3: Tcl Packages & Commands 3–289sdc© July 2013 Altera Corporation Quartus II Scripting Reference ManualClock generation can also be specif

Page 357

2–16 Chapter 2: Command-line Executablesquartus_cpfQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_cpfThe Quartus® II Conv

Page 358 - # Using wildcards

3–290 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationderive_clocksUsagederive_clocks -pe

Page 359

Chapter 3: Tcl Packages & Commands 3–291sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_cellsUsageget_cells [-compatibi

Page 360

3–292 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset mycollection [get_cells *]# Cre

Page 361

Chapter 3: Tcl Packages & Commands 3–293sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_clocksUsageget_clocks [-nocase]

Page 362

3–294 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_netsUsageget_nets [-no_duplicat

Page 363

Chapter 3: Tcl Packages & Commands 3–295sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_pinsUsageget_pins [-compatibili

Page 364

3–296 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_pins reg|*# Create a collection

Page 365

Chapter 3: Tcl Packages & Commands 3–297sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_portsUsageget_ports [-nocase] [

Page 366

3–298 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_clock_groupsUsageremove_cloc

Page 367

Chapter 3: Tcl Packages & Commands 3–299sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_clock_latencyUsageremove_clo

Page 368 - TSU_REQUIREMENT 2ns

Chapter 2: Command-line Executables 2–17quartus_cpf© July 2013 Altera Corporation Quartus II Scripting Reference ManualThis command includes help on t

Page 369

3–300 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_clock_uncertaintyUsageremove

Page 370

Chapter 3: Tcl Packages & Commands 3–301sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_disable_timingUsageremove_di

Page 371

3–302 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_input_delayUsageremove_input

Page 372

Chapter 3: Tcl Packages & Commands 3–303sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_output_delayUsageremove_outp

Page 373

3–304 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationreset_designUsagereset_designOption

Page 374

Chapter 3: Tcl Packages & Commands 3–305sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_clock_groupsUsageset_clock_grou

Page 375

3–306 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_clock_latencyUsageset_clock_lat

Page 376

Chapter 3: Tcl Packages & Commands 3–307sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manual# Apply a simple 2.000 ns source la

Page 377

3–308 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_clock_uncertaintyUsageset_clock

Page 378

Chapter 3: Tcl Packages & Commands 3–309sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_disable_timingUsageset_disable_

Page 379

2–18 Chapter 2: Command-line Executablesquartus_cpfQuartus II Scripting Reference Manual © July 2013 Altera Corporation-q=<frequency with units>

Page 380

3–310 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_false_pathUsageset_false_path [

Page 381

Chapter 3: Tcl Packages & Commands 3–311sdc© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe -setup and -hold options allow

Page 382

3–312 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_input_delayUsageset_input_delay

Page 383

Chapter 3: Tcl Packages & Commands 3–313sdc© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample# Simple input delay with th

Page 384

3–314 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_input_transitionUsageset_input_

Page 385

Chapter 3: Tcl Packages & Commands 3–315sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_max_delayUsageset_max_delay [-f

Page 386

3–316 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe -rise_to and -fall_to options b

Page 387

Chapter 3: Tcl Packages & Commands 3–317sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_min_delayUsageset_min_delay [-f

Page 388

3–318 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe -rise_from and -fall_from optio

Page 389

Chapter 3: Tcl Packages & Commands 3–319sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_multicycle_pathUsageset_multicy

Page 390

Chapter 2: Command-line Executables 2–19quartus_cpf© July 2013 Altera Corporation Quartus II Scripting Reference Manual--frequency=<frequency with

Page 391

3–320 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera CorporationIf pin names or collections are use

Page 392

Chapter 3: Tcl Packages & Commands 3–321sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_output_delayUsageset_output_del

Page 393

3–322 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera CorporationExample# Simple output delay with t

Page 394

Chapter 3: Tcl Packages & Commands 3–323sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualsdc_extTiming Constraints not d

Page 395

3–324 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationderive_clock_uncertaintyUsagede

Page 396

Chapter 3: Tcl Packages & Commands 3–325sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualderive_pll_clocksUsagederive_pl

Page 397

3–326 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_assignment_groupsUsageget_a

Page 398

Chapter 3: Tcl Packages & Commands 3–327sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_faninsUsageget_fanins [-asy

Page 399

3–328 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_fanoutsUsageget_fanouts [-i

Page 400

Chapter 3: Tcl Packages & Commands 3–329sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_keepersUsageget_keepers [-n

Page 401 - ■ quartus_map

2–20 Chapter 2: Command-line Executablesquartus_cpfQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe following are valid strings

Page 402

3–330 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_nodesUsageget_nodes [-no_du

Page 403

Chapter 3: Tcl Packages & Commands 3–331sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_partitionsUsageget_partitio

Page 404

3–332 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_registersUsageget_registers

Page 405

Chapter 3: Tcl Packages & Commands 3–333sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_annotated_delayUsageremo

Page 406

3–334 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_clockUsageremove_clock [

Page 407

Chapter 3: Tcl Packages & Commands 3–335sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualreset_timing_derateUsagereset_t

Page 408

3–336 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_active_clocksUsageset_activ

Page 409

Chapter 3: Tcl Packages & Commands 3–337sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_annotated_delayUsageset_ann

Page 410

3–338 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_max_skewUsageset_max_skew [

Page 411

Chapter 3: Tcl Packages & Commands 3–339sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference ManualUse the -include and -exclude o

Page 412

Chapter 2: Command-line Executables 2–21quartus_cpf© July 2013 Altera Corporation Quartus II Scripting Reference Manualin which keyfile is a valid Key

Page 413

3–340 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_net_delayUsageset_net_delay

Page 414

Chapter 3: Tcl Packages & Commands 3–341sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_scc_modeUsageset_scc_mode [

Page 415

3–342 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_time_formatUsageset_time_fo

Page 416

Chapter 3: Tcl Packages & Commands 3–343sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_timing_derateUsageset_timin

Page 417

3–344 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera CorporationsimulatorThis package contain

Page 418 - as an absolute time shift

Chapter 3: Tcl Packages & Commands 3–345simulator© July 2013 Altera Corporation Quartus II Scripting Reference ManualCommand Pagecompare_vector ..

Page 419

3–346 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationcompare_vectorUsagecompare_ve

Page 420

Chapter 3: Tcl Packages & Commands 3–347simulator© July 2013 Altera Corporation Quartus II Scripting Reference ManualDescriptionCompare two simula

Page 421

3–348 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera CorporationExample 6---------# Compare d

Page 422

Chapter 3: Tcl Packages & Commands 3–349simulator© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample 12----------# Compare

Page 423

2–22 Chapter 2: Command-line Executablesquartus_cpfQuartus II Scripting Reference Manual © July 2013 Altera CorporationjamTo generate a JEDEC STAPL Fo

Page 424

3–350 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationconvert_vectorUsageconvert_ve

Page 425

Chapter 3: Tcl Packages & Commands 3–351simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_simulation_breakpointU

Page 426

3–352 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_all_simulation_breakpo

Page 427

Chapter 3: Tcl Packages & Commands 3–353simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_simulation_breakpointU

Page 428

3–354 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationdisable_all_simulation_breakp

Page 429

Chapter 3: Tcl Packages & Commands 3–355simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualdisable_simulation_breakpoint

Page 430

3–356 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationenable_all_simulation_breakpo

Page 431

Chapter 3: Tcl Packages & Commands 3–357simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualenable_simulation_breakpointU

Page 432

3–358 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationfast_write_to_simulation_memo

Page 433

Chapter 3: Tcl Packages & Commands 3–359simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualforce_simulation_valueUsagefo

Page 434

Chapter 2: Command-line Executables 2–23quartus_cpf© July 2013 Altera Corporation Quartus II Scripting Reference ManualAlternatively, you can change c

Page 435

3–360 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationforce_simulation_value -node

Page 436

Chapter 3: Tcl Packages & Commands 3–361simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_simulation_memory_infoUsa

Page 437

3–362 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_simulation_timeUsageget_s

Page 438

Chapter 3: Tcl Packages & Commands 3–363simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_simulation_valueUsageget_

Page 439

3–364 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationgroup_simulation_signalUsageg

Page 440

Chapter 3: Tcl Packages & Commands 3–365simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualinitialize_simulationUsageini

Page 441

3–366 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe option "-ignore_vect

Page 442

Chapter 3: Tcl Packages & Commands 3–367simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualpartition_vectorUsagepartitio

Page 443

3–368 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationread_from_simulation_memoryUs

Page 444

Chapter 3: Tcl Packages & Commands 3–369simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualrelease_simulation_valueUsage

Page 445

Contents v© July 2013 Altera Corporation Quartus II Scripting Reference Manual--post_syn[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . .

Page 446

2–24 Chapter 2: Command-line Executablesquartus_cpfQuartus II Scripting Reference Manual © July 2013 Altera CorporationttfTo generate a Tabular Text F

Page 447

3–370 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationrun_simulationUsagerun_simula

Page 448

Chapter 3: Tcl Packages & Commands 3–371simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_simulation_clockUsageset_

Page 449

3–372 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationwrite_to_simulation_memoryUsa

Page 450

Chapter 3: Tcl Packages & Commands 3–373sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualstaThis package contains the set of

Page 451

3–374 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationCommand Pageadd_to_collection ...

Page 452

Chapter 3: Tcl Packages & Commands 3–375sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_path ...

Page 453

3–376 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationadd_to_collectionUsageadd_to_collec

Page 454

Chapter 3: Tcl Packages & Commands 3–377sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualcheck_timingUsagecheck_timing [-app

Page 455

3–378 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe loops check verifies that there

Page 456

Chapter 3: Tcl Packages & Commands 3–379sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_report_histogramUsagecreate_

Page 457

Chapter 2: Command-line Executables 2–25quartus_drc© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_drcThe Quartus II Desig

Page 458

3–380 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationExample# create a path-based slack

Page 459

Chapter 3: Tcl Packages & Commands 3–381sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_slack_histogramUsagecreate_s

Page 460

3–382 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_timing_netlistproject_close

Page 461

Chapter 3: Tcl Packages & Commands 3–383sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_timing_netlistUsagecreate_ti

Page 462

3–384 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation# Ready to call report functionsrep

Page 463

Chapter 3: Tcl Packages & Commands 3–385sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_timing_summaryUsagecreate_ti

Page 464

3–386 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_timing_netlistUsagedelete_ti

Page 465

Chapter 3: Tcl Packages & Commands 3–387sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualenable_ccpp_removalUsageenable_ccpp

Page 466

3–388 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationenable_sdc_extension_collectionsUsa

Page 467

Chapter 3: Tcl Packages & Commands 3–389sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_available_operating_conditionsU

Page 468

2–26 Chapter 2: Command-line Executablesquartus_drcQuartus II Scripting Reference Manual © July 2013 Altera Corporation--hc[=on|off]Option to generate

Page 469

3–390 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_cell_infoUsageget_cell_info [-b

Page 470

Chapter 3: Tcl Packages & Commands 3–391sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_clock_domain_infoUsageget_clock

Page 471

3–392 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_clock_fmax_infoUsageget_clock_f

Page 472

Chapter 3: Tcl Packages & Commands 3–393sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_clock_infoUsageget_clock_info [

Page 473

3–394 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationExampleproject_open chiptripcreate_

Page 474 - ■ quartus_sim

Chapter 3: Tcl Packages & Commands 3–395sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_datasheetUsageget_datasheetOpti

Page 475

3–396 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation <mintpd rise-fall time>

Page 476

Chapter 3: Tcl Packages & Commands 3–397sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_default_sdc_file_namesUsageget_

Page 477

3–398 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_edge_infoUsageget_edge_info [-d

Page 478

Chapter 3: Tcl Packages & Commands 3–399sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_edge_slacksUsageget_edge_slacks

Page 479 - Example 16

Chapter 2: Command-line Executables 2–27quartus_eda© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_edaThe Quartus II EDA N

Page 480

3–400 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_min_pulse_widthUsageget_min_pul

Page 481

Chapter 3: Tcl Packages & Commands 3–401sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_net_infoUsageget_net_info [-nam

Page 482

3–402 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_node_infoUsageget_node_info [-a

Page 483

Chapter 3: Tcl Packages & Commands 3–403sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_object_infoUsageget_object_info

Page 484

3–404 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_operating_conditionsUsageget_op

Page 485

Chapter 3: Tcl Packages & Commands 3–405sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_operating_conditions_infoUsageg

Page 486

3–406 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_partition_infoUsageget_partitio

Page 487

Chapter 3: Tcl Packages & Commands 3–407sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_pathUsageget_path [-from <na

Page 488

3–408 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationputs \ [format "%10s %8s %-

Page 489

Chapter 3: Tcl Packages & Commands 3–409sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_path_infoUsageget_path_info [-a

Page 490 - Example 4

2–28 Chapter 2: Command-line Executablesquartus_edaQuartus II Scripting Reference Manual © July 2013 Altera CorporationOption Page-c=<revision name

Page 491

3–410 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe -from and -to options return th

Page 492

Chapter 3: Tcl Packages & Commands 3–411sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualputs "From Clock : [ get_clock

Page 493

3–412 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_pin_infoUsageget_pin_info [-is_

Page 494 - Groups the specified signals

Chapter 3: Tcl Packages & Commands 3–413sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_point_infoUsageget_point_info [

Page 495

3–414 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe -type option returns a string i

Page 496

Chapter 3: Tcl Packages & Commands 3–415sta© July 2013 Altera Corporation Quartus II Scripting Reference Manual}}return $clk_str}proc print_point

Page 497

3–416 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_port_infoUsageget_port_info [-e

Page 498

Chapter 3: Tcl Packages & Commands 3–417sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_register_infoUsageget_register_

Page 499

3–418 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_timing_pathsUsageget_timing_pat

Page 500

Chapter 3: Tcl Packages & Commands 3–419sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualThis command behaves the same as th

Page 501

Chapter 2: Command-line Executables 2–29quartus_eda© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe exact type of output file(s

Page 502

3–420 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation# pathforeach_in_collection path [

Page 503

Chapter 3: Tcl Packages & Commands 3–421sta© July 2013 Altera Corporation Quartus II Scripting Reference ManuallocateUsagelocate [-chip] [-color &

Page 504

3–422 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation# Locate all of the nodes in the lo

Page 505

Chapter 3: Tcl Packages & Commands 3–423sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualquery_collectionUsagequery_collecti

Page 506

3–424 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationread_sdcUsageread_sdc [-hdl] <fi

Page 507

Chapter 3: Tcl Packages & Commands 3–425sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_from_collectionUsageremove_f

Page 508

3–426 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_advanced_io_timingUsagerepor

Page 509

Chapter 3: Tcl Packages & Commands 3–427sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_bottleneckUsagereport_bottle

Page 510

3–428 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationExampleproject_open my_projectcreat

Page 511

Chapter 3: Tcl Packages & Commands 3–429sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_clock_fmax_summaryUsagerepor

Page 512

2–30 Chapter 2: Command-line Executablesquartus_edaQuartus II Scripting Reference Manual © July 2013 Altera Corporation--gen_script=<NONE>Option

Page 513

3–430 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_clock_transfersUsagereport_c

Page 514

Chapter 3: Tcl Packages & Commands 3–431sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_clocksUsagereport_clocks [-a

Page 515

3–432 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_datasheetUsagereport_datashe

Page 516

Chapter 3: Tcl Packages & Commands 3–433sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_ddrUsagereport_ddr [-append]

Page 517

3–434 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_exceptionsUsagereport_except

Page 518

Chapter 3: Tcl Packages & Commands 3–435sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualDescriptionReports the status and t

Page 519

3–436 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationFalse path exceptions (set_false_pa

Page 520

Chapter 3: Tcl Packages & Commands 3–437sta© July 2013 Altera Corporation Quartus II Scripting Reference Manual# recovery analysis, reporting the

Page 521

3–438 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_max_skewUsagereport_max_skew

Page 522

Chapter 3: Tcl Packages & Commands 3–439sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe return value of this command is

Page 523 - -phase] [-rise]

Chapter 2: Command-line Executables 2–31quartus_eda© July 2013 Altera Corporation Quartus II Scripting Reference Manual--simulation[=on|off]A top-leve

Page 524

3–440 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation 0.100 -detail full_pathdelete_t

Page 525

Chapter 3: Tcl Packages & Commands 3–441sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_metastabilityUsagereport_met

Page 526

3–442 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationSettingsTo get a list of possible s

Page 527

Chapter 3: Tcl Packages & Commands 3–443sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualPlease refer to the Metastabiliity

Page 528

3–444 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_min_pulse_widthUsagereport_m

Page 529

Chapter 3: Tcl Packages & Commands 3–445sta© July 2013 Altera Corporation Quartus II Scripting Reference Manual# Report minimum pulse width checks

Page 530

3–446 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_net_delayUsagereport_net_del

Page 531

Chapter 3: Tcl Packages & Commands 3–447sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_net_timingUsagereport_net_ti

Page 532

3–448 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_partitionsUsagereport_partit

Page 533

Chapter 3: Tcl Packages & Commands 3–449sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_pathUsagereport_path [-appen

Page 534

2–32 Chapter 2: Command-line Executablesquartus_edaQuartus II Scripting Reference Manual © July 2013 Altera CorporationSimulation Tool as shown in GUI

Page 535

3–450 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationUse the "-pairs_only" opt

Page 536

Chapter 3: Tcl Packages & Commands 3–451sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_rskmUsagereport_rskm [-appen

Page 537

3–452 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_sdcUsagereport_sdc [-append]

Page 538

Chapter 3: Tcl Packages & Commands 3–453sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_skewUsagereport_skew [-appen

Page 539

3–454 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationDescriptionThis report performs ske

Page 540

Chapter 3: Tcl Packages & Commands 3–455sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe "Type" column in the

Page 541

3–456 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_tccsUsagereport_tccs [-appen

Page 542

Chapter 3: Tcl Packages & Commands 3–457sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_timingUsagereport_timing [-a

Page 543

3–458 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation-to <names>: Valid destinatio

Page 544

Chapter 3: Tcl Packages & Commands 3–459sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe "Type" column in the

Page 545

Chapter 2: Command-line Executables 2–33quartus_eda© July 2013 Altera Corporation Quartus II Scripting Reference Manual--user_compiled_simlib_dir=<

Page 546

3–460 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation# The following command is optional

Page 547

Chapter 3: Tcl Packages & Commands 3–461sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_ucpUsagereport_ucp [-append]

Page 548

3–462 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_operating_conditionsUsageset_op

Page 549

Chapter 3: Tcl Packages & Commands 3–463sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualtiming_netlist_existUsagetiming_net

Page 550

3–464 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationupdate_timing_netlistUsageupdate_ti

Page 551 - -tmv Technology Map Viewer

Chapter 3: Tcl Packages & Commands 3–465sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualuse_timequest_style_escapingUsageus

Page 552

3–466 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationwrite_sdcUsagewrite_sdc [-expand] [

Page 553

Chapter 3: Tcl Packages & Commands 3–467stp© July 2013 Altera Corporation Quartus II Scripting Reference ManualstpThis package contains the set of

Page 554

3–468 Chapter 3: Tcl Packages & CommandsstpQuartus II Scripting Reference Manual © July 2013 Altera Corporationclose_sessionUsageclose_sessionOpti

Page 555

Chapter 3: Tcl Packages & Commands 3–469stp© July 2013 Altera Corporation Quartus II Scripting Reference Manualopen_sessionUsageopen_session -name

Page 556

vi ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporation-j . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

Page 557

2–34 Chapter 2: Command-line Executablesquartus_fitQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_fitThe Quartus® II Fitt

Page 558

3–470 Chapter 3: Tcl Packages & CommandsstpQuartus II Scripting Reference Manual © July 2013 Altera CorporationrunUsagerun [-check] [-data_log <

Page 559

Chapter 3: Tcl Packages & Commands 3–471stp© July 2013 Altera Corporation Quartus II Scripting Reference Manualrun_multiple_endUsagerun_multiple_e

Page 560

3–472 Chapter 3: Tcl Packages & CommandsstpQuartus II Scripting Reference Manual © July 2013 Altera Corporationrun_multiple_startUsagerun_multiple

Page 561

Chapter 3: Tcl Packages & Commands 3–473stp© July 2013 Altera Corporation Quartus II Scripting Reference ManualstopUsagestopOptionsNoneDescription

Page 562

3–474 Chapter 3: Tcl Packages & CommandstimingQuartus II Scripting Reference Manual © July 2013 Altera CorporationtimingThis package contains the

Page 563

Chapter 3: Tcl Packages & Commands 3–475timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualcompute_slack_on_edgesUsagecompu

Page 564

3–476 Chapter 3: Tcl Packages & CommandstimingQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_timing_netlistUsagecreate

Page 565

Chapter 3: Tcl Packages & Commands 3–477timing© July 2013 Altera Corporation Quartus II Scripting Reference Manual# Report hold violation for fast

Page 566

3–478 Chapter 3: Tcl Packages & CommandstimingQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_timing_netlistUsagedelete

Page 567

Chapter 3: Tcl Packages & Commands 3–479timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_timing_tablesUsageremove_

Page 568

Chapter 2: Command-line Executables 2–35quartus_fit© July 2013 Altera Corporation Quartus II Scripting Reference ManualHelp Topic Pagearguments ...

Page 569

3–480 Chapter 3: Tcl Packages & CommandstimingQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_timingUsagereport_timing

Page 570 - 0.100 -detail full_path

Chapter 3: Tcl Packages & Commands 3–481timing© July 2013 Altera Corporation Quartus II Scripting Reference ManualYou can use one or more of the f

Page 571

3–482 Chapter 3: Tcl Packages & CommandstimingQuartus II Scripting Reference Manual © July 2013 Altera Corporation# List the top 5 pin-to-pin comb

Page 572

Chapter 3: Tcl Packages & Commands 3–483timing© July 2013 Altera Corporation Quartus II Scripting Reference Manual report_timing -clock_setup -

Page 573

3–484 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationtiming_assignmentThis

Page 574

Chapter 3: Tcl Packages & Commands 3–485timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_base_clockUsag

Page 575

3–486 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera CorporationAssignments created o

Page 576

Chapter 3: Tcl Packages & Commands 3–487timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_relative_clock

Page 577

3–488 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe "-phase_shif

Page 578

Chapter 3: Tcl Packages & Commands 3–489timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_clocksUsageget_cl

Page 579

2–36 Chapter 2: Command-line Executablesquartus_fitQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe following table describes l

Page 580

3–490 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_clock_latencyUsag

Page 581

Chapter 3: Tcl Packages & Commands 3–491timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample## Set early c

Page 582

3–492 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_clock_uncertainty

Page 583

Chapter 3: Tcl Packages & Commands 3–493timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference ManualThese two Tcl command

Page 584 - F Falling output

3–494 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_input_delayUsages

Page 585

Chapter 3: Tcl Packages & Commands 3–495timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample## Specify the

Page 586

3–496 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_multicycle_assign

Page 587

Chapter 3: Tcl Packages & Commands 3–497timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference Manual export_assignments

Page 588

3–498 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_output_delayUsage

Page 589

Chapter 3: Tcl Packages & Commands 3–499timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample## Specify the

Page 590

Chapter 2: Command-line Executables 2–37quartus_fit© July 2013 Altera Corporation Quartus II Scripting Reference Manual--io_smart_recompileOption to r

Page 591 - Reports unconstrained paths

3–500 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_timing_cut_assign

Page 592

Chapter 3: Tcl Packages & Commands 3–501timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample## Set timing

Page 593

3–502 Chapter 3: Tcl Packages & Commandstiming_reportQuartus II Scripting Reference Manual © July 2013 Altera Corporationtiming_reportThis package

Page 594

Chapter 3: Tcl Packages & Commands 3–503timing_report© July 2013 Altera Corporation Quartus II Scripting Reference Manuallist_pathUsagelist_path [

Page 595

3–504 Chapter 3: Tcl Packages & Commandstiming_reportQuartus II Scripting Reference Manual © July 2013 Altera Corporation# To match all indices of

Page 596

2–38 Chapter 2: Command-line Executablesquartus_fitQuartus II Scripting Reference Manual © July 2013 Altera CorporationThis option overrides the setti

Page 597

Chapter 2: Command-line Executables 2–39quartus_fit© July 2013 Altera Corporation Quartus II Scripting Reference Manual--tpd=<time unit>Option t

Page 598

2–40 Chapter 2: Command-line Executablesquartus_fitQuartus II Scripting Reference Manual © July 2013 Altera Corporationfs femtosecond(s)hz hertzkhz ki

Page 599

Chapter 2: Command-line Executables 2–41quartus_jbcc© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_jbccThe Quartus® II JA

Page 600

2–42 Chapter 2: Command-line Executablesquartus_jliQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_jliThe Quartus® II JBI

Page 601

Chapter 2: Command-line Executables 2–43quartus_jli© July 2013 Altera Corporation Quartus II Scripting Reference Manual-c=<jtagserver cable number&

Page 602

Contents vii© July 2013 Altera Corporation Quartus II Scripting Reference Manual--mode=<programming mode> . . . . . . . . . . . . . . . . . . .

Page 603

2–44 Chapter 2: Command-line Executablesquartus_jliQuartus II Scripting Reference Manual © July 2013 Altera CorporationUse -i to display an procedures

Page 604

Chapter 2: Command-line Executables 2–45quartus_map© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_mapQuartus® II Analysis

Page 605

2–46 Chapter 2: Command-line Executablesquartus_mapQuartus II Scripting Reference Manual © July 2013 Altera CorporationThis command includes help on t

Page 606

Chapter 2: Command-line Executables 2–47quartus_map© July 2013 Altera Corporation Quartus II Scripting Reference Manual--family=<device family>O

Page 607

2–48 Chapter 2: Command-line Executablesquartus_mapQuartus II Scripting Reference Manual © July 2013 Altera Corporation--lib_path=<path>Option t

Page 608

Chapter 2: Command-line Executables 2–49quartus_map© July 2013 Altera Corporation Quartus II Scripting Reference Manual--source=<source file>Opt

Page 609

2–50 Chapter 2: Command-line Executablesquartus_pgmQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_pgmThe Quartus® II Prog

Page 610

Chapter 2: Command-line Executables 2–51quartus_pgm© July 2013 Altera Corporation Quartus II Scripting Reference Manual-aRefer to the help for --auto

Page 611

2–52 Chapter 2: Command-line Executablesquartus_pgmQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe following syntax is support

Page 612

Chapter 2: Command-line Executables 2–53quartus_pgm© July 2013 Altera Corporation Quartus II Scripting Reference ManualV, CV, VL, CVL, IVB, CB, IBR, R

Page 613

viii ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporation--simulation_results_format=<VWF|CVWF|VCD> . . . . . . . . . .

Page 614

2–54 Chapter 2: Command-line Executablesquartus_powQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_powThe Quartus II Power

Page 615

Chapter 2: Command-line Executables 2–55quartus_pow© July 2013 Altera Corporation Quartus II Scripting Reference Manual--default_input_io_toggle_rate=

Page 616

2–56 Chapter 2: Command-line Executablesquartus_powQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe input_saf option should not

Page 617

Chapter 2: Command-line Executables 2–57quartus_pow© July 2013 Altera Corporation Quartus II Scripting Reference ManualNote: Regardless of the setting

Page 618

2–58 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_shThe Quartus® II Shell

Page 619

Chapter 2: Command-line Executables 2–59quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference ManualHelp Topic PageTcl ...

Page 620

2–60 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera Corporation2. Adds all files discovered or

Page 621

Chapter 2: Command-line Executables 2–61quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference Manual--dseTHE ALTERA DESIGN SPACE EXP

Page 622

2–62 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera CorporationInstructs DSE to archive all poi

Page 623

Chapter 2: Command-line Executables 2–63quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference ManualChanges the optimization goal us

Page 624

Contents ix© July 2013 Altera Corporation Quartus II Scripting Reference Manual--tco=<time unit> . . . . . . . . . . . . . . . . . . . . . . .

Page 625 - Time Unit Description

2–64 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera CorporationInstructs DSE to stop exploring

Page 626

Chapter 2: Command-line Executables 2–65quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference ManualAdditional information is also a

Page 627

2–66 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera Corporation# You can do the same manually (

Page 628

Chapter 2: Command-line Executables 2–67quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference Manual--qinstallOption to install Quar

Page 629

2–68 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera CorporationThis command starts the Distribu

Page 630

Chapter 2: Command-line Executables 2–69quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference ManualExamples# Only display the conte

Page 631

2–70 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera CorporationSynopsis Usagequartus_sh --simli

Page 632

Chapter 2: Command-line Executables 2–71quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference ManualNot a required option. Specifies

Page 633

2–72 Chapter 2: Command-line Executablesquartus_siQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_siThe Quartus® II SSN An

Page 634

Chapter 2: Command-line Executables 2–73quartus_si© July 2013 Altera Corporation Quartus II Scripting Reference Manual--grouping[=on|off]Specify for S

Comments to this Manuals

No comments