101 Innovation DriveSan Jose, CA 95134www.altera.comQuartus II Scripting Reference ManualFor Command-Line Operation & Tool Command Language (Tcl)
x ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporationbackannotate . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2–74 Chapter 2: Command-line Executablesquartus_simQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_simThe Quartus® II Simu
Chapter 2: Command-line Executables 2–75quartus_sim© July 2013 Altera Corporation Quartus II Scripting Reference ManualOption Page-c=<revision name
2–76 Chapter 2: Command-line Executablesquartus_simQuartus II Scripting Reference Manual © July 2013 Altera Corporation-c=<revision name>Refer t
Chapter 2: Command-line Executables 2–77quartus_sim© July 2013 Altera Corporation Quartus II Scripting Reference Manual--pvt_temperature=<value_in_
2–78 Chapter 2: Command-line Executablesquartus_simQuartus II Scripting Reference Manual © July 2013 Altera Corporation--vector_comparison_rule_value_
Chapter 2: Command-line Executables 2–79quartus_sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_staThe TimeQuest timing
2–80 Chapter 2: Command-line Executablesquartus_staQuartus II Scripting Reference Manual © July 2013 Altera CorporationHelp Topic PageTcl ...
Chapter 2: Command-line Executables 2–81quartus_sta© July 2013 Altera Corporation Quartus II Scripting Reference Manual--report_script=<NONE>Nam
2–82 Chapter 2: Command-line Executablesquartus_staQuartus II Scripting Reference Manual © July 2013 Altera Corporationproject_opencreate_timieng_netl
Chapter 2: Command-line Executables 2–83quartus_stp© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_stpThe Quartus II Signa
Contents xi© July 2013 Altera Corporation Quartus II Scripting Reference Manualexport_database . . . . . . . . . . . . . . . . . . . . . . . . . . .
2–84 Chapter 2: Command-line Executablesquartus_stpQuartus II Scripting Reference Manual © July 2013 Altera CorporationOption Page-c=<revision name
Chapter 2: Command-line Executables 2–85quartus_stp© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample:quartus_stp <project
2–86 Chapter 2: Command-line Executablesquartus_tanQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_tanThe Quartus® II timi
Chapter 2: Command-line Executables 2–87quartus_tan© July 2013 Altera Corporation Quartus II Scripting Reference ManualOption Page-c=<revision name
2–88 Chapter 2: Command-line Executablesquartus_tanQuartus II Scripting Reference Manual © July 2013 Altera Corporation--check_constraints[=<setup|
Chapter 2: Command-line Executables 2–89quartus_tan© July 2013 Altera Corporation Quartus II Scripting Reference Manual--do_min_analysis[=on|off]Optio
2–90 Chapter 2: Command-line Executablesquartus_tanQuartus II Scripting Reference Manual © July 2013 Altera CorporationExamplesquartus_map top --famil
Chapter 2: Command-line Executables 2–91quartus_tan© July 2013 Altera Corporation Quartus II Scripting Reference ManualExamplequartus_map top --family
2–92 Chapter 2: Command-line ExecutablesCommon OptionsQuartus II Scripting Reference Manual © July 2013 Altera CorporationCommon OptionsAll command-li
Chapter 2: Command-line Executables 2–93Common Options© July 2013 Altera Corporation Quartus II Scripting Reference Manual--help[=<option|topic>
xii ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporationdevice_ir_shift . . . . . . . . . . . . . . . . . . . . . . . . . . .
2–94 Chapter 2: Command-line ExecutablesCommon OptionsQuartus II Scripting Reference Manual © July 2013 Altera Corporation############################
Chapter 2: Command-line Executables 2–95Common Options© July 2013 Altera Corporation Quartus II Scripting Reference Manualreturn_codesQuartus® II comm
2–96 Chapter 2: Command-line ExecutablesCompiler OptionsQuartus II Scripting Reference Manual © July 2013 Altera CorporationCompiler OptionsCommand-li
Chapter 2: Command-line Executables 2–97Parallel Processing Options© July 2013 Altera Corporation Quartus II Scripting Reference ManualParallel Proces
2–98 Chapter 2: Command-line ExecutablesSettings File OptionsQuartus II Scripting Reference Manual © July 2013 Altera CorporationSettings File Options
Chapter 2: Command-line Executables 2–99Settings File Options© July 2013 Altera Corporation Quartus II Scripting Reference ManualTable 2–2 lists the l
2–100 Chapter 2: Command-line ExecutablesSettings File OptionsQuartus II Scripting Reference Manual © July 2013 Altera Corporation--write_settings_fil
Chapter 2: Command-line Executables 2–101Settings File Options© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe following exampl
2–102 Chapter 2: Command-line ExecutablesTcl OptionsQuartus II Scripting Reference Manual © July 2013 Altera CorporationTcl OptionsCommand-line execut
Chapter 2: Command-line Executables 2–103Tcl Options© July 2013 Altera Corporation Quartus II Scripting Reference Manual--tcl_eval=<tcl command>
Contents xiii© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_top_level_entity . . . . . . . . . . . . . . . . . . . . . . . .
2–104 Chapter 2: Command-line ExecutablesTcl OptionsQuartus II Scripting Reference Manual © July 2013 Altera Corporation
© July 2013 Altera Corporation Quartus II Scripting Reference Manual3. Tcl Packages & CommandsCommand Name Package Pageadd_new_cell chip_planner 3
3–2 Chapter 3: Tcl Packages & CommandsQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_report_histogram sta 3–379create_
Chapter 3: Tcl Packages & Commands 3–3© July 2013 Altera Corporation Quartus II Scripting Reference Manualenable_natural_bus_naming misc 3–169enab
3–4 Chapter 3: Tcl Packages & CommandsQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_clocks sdc 3–293get_clocks timing_as
Chapter 3: Tcl Packages & Commands 3–5© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_node_loc chip_planner 3–57get_nodes
3–6 Chapter 3: Tcl Packages & CommandsQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_rtl_pin_info rtl 3–277get_rtl_pins r
Chapter 3: Tcl Packages & Commands 3–7© July 2013 Altera Corporation Quartus II Scripting Reference Manualopen_session stp 3–469p2p_timing_cut_exi
3–8 Chapter 3: Tcl Packages & CommandsQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_output_delay sdc 3–303remove_outp
Chapter 3: Tcl Packages & Commands 3–9© July 2013 Altera Corporation Quartus II Scripting Reference Manualsave_report_database report 3–266set_act
xiv ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporationall_inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3–10 Chapter 3: Tcl Packages & CommandsQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_timing_cut_assignment timing_assign
Chapter 3: Tcl Packages & Commands 3–11advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualadvanced_timingThis adva
3–12 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_p2p_delaysUsagecr
Chapter 3: Tcl Packages & Commands 3–13advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_clock_delay_pathUsag
3–14 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_delay_pathUsageget_d
Chapter 3: Tcl Packages & Commands 3–15advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_delays_from_clocksUs
3–16 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_delays_from_keepersU
Chapter 3: Tcl Packages & Commands 3–17advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_illegal_delay_valueU
3–18 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_max_delay_valueUsage
Chapter 3: Tcl Packages & Commands 3–19advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_timing_edge_delayUsa
Contents xv© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_simulation_breakpoint . . . . . . . . . . . . . . . . . . . .
3–20 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_timing_edge_infoUsag
Chapter 3: Tcl Packages & Commands 3–21advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_timing_edgesUsageget
3–22 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_timing_node_faninUsa
Chapter 3: Tcl Packages & Commands 3–23advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_timing_node_fanoutUs
3–24 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_timing_node_infoUsag
Chapter 3: Tcl Packages & Commands 3–25advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference ManualExampleload_package adva
3–26 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_timing_nodesUsageget
Chapter 3: Tcl Packages & Commands 3–27advanced_timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualis_legal_delay_valueUsag
3–28 Chapter 3: Tcl Packages & Commandsadvanced_timingQuartus II Scripting Reference Manual © July 2013 Altera Corporationp2p_timing_cut_existUsag
Chapter 3: Tcl Packages & Commands 3–29backannotate© July 2013 Altera Corporation Quartus II Scripting Reference ManualbackannotateThis package co
xvi ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_from_collection . . . . . . . . . . . . . . . . . . . . . . .
3–30 Chapter 3: Tcl Packages & CommandsbackannotateQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_back_annotation_assignm
Chapter 3: Tcl Packages & Commands 3–31backannotate© July 2013 Altera Corporation Quartus II Scripting Reference Manuallogiclock_back_annotateUsag
3–32 Chapter 3: Tcl Packages & CommandsbackannotateQuartus II Scripting Reference Manual © July 2013 Altera CorporationAltera recommends that you
Chapter 3: Tcl Packages & Commands 3–33chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualchip_plannerThis package co
3–34 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera CorporationCommand Pageadd_new_cell...
Chapter 3: Tcl Packages & Commands 3–35chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualadd_new_cellUsageadd_new_ce
3–36 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationadd_new_ioUsageadd_new_io -
Chapter 3: Tcl Packages & Commands 3–37chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualadd_usageUsageadd_usage [-g
3–38 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationapply_commandUsageapply_com
Chapter 3: Tcl Packages & Commands 3–39chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualcheck_netlist_and_saveUsage
© July 2013 Altera Corporation Quartus II Scripting Reference ManualAbout this Reference ManualThis manual provides comprehensive information about th
3–40 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationcheck_nodeUsagecheck_node [
Chapter 3: Tcl Packages & Commands 3–41chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualclose_chip_plannerUsageclos
3–42 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationconnect_chainUsageconnect_c
Chapter 3: Tcl Packages & Commands 3–43chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualconvert_signal_probesUsagec
3–44 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_migrated_scriptUsage
Chapter 3: Tcl Packages & Commands 3–45chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_spUsagedelete_sp -pi
3–46 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationdesign_has_ace_supportUsage
Chapter 3: Tcl Packages & Commands 3–47chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualdesign_has_encrypted_ipUsag
3–48 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationdisable_spUsagedisable_sp -
Chapter 3: Tcl Packages & Commands 3–49chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualdiscard_all_changesUsagedis
xviii About this Reference ManualTypographic ConventionsQuartus II Scripting Reference Manual © July 2013 Altera CorporationTypographic ConventionsTh
3–50 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationdiscard_node_changesUsagedi
Chapter 3: Tcl Packages & Commands 3–51chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualenable_spUsageenable_sp -pi
3–52 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationexport_stack_toUsageexport_
Chapter 3: Tcl Packages & Commands 3–53chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_info_parametersUsageget
3–54 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_iportsUsageget_iports [
Chapter 3: Tcl Packages & Commands 3–55chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_node_by_nameUsageget_no
3–56 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_node_infoUsageget_node_
Chapter 3: Tcl Packages & Commands 3–57chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_node_locUsageget_node_l
3–58 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_nodesUsageget_nodes -ty
Chapter 3: Tcl Packages & Commands 3–59chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_oportsUsageget_oports [
© July 2013 Altera Corporation Quartus II Scripting Reference Manual1. Introduction to the Quartus II ScriptingReference ManualIntroductionThe Quartus
3–60 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_port_by_typeUsageget_po
Chapter 3: Tcl Packages & Commands 3–61chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_port_infoUsageget_port_
3–62 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_sp_pin_listUsageget_sp_
Chapter 3: Tcl Packages & Commands 3–63chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_stackUsageget_stack [-l
3–64 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_tile_power_settingUsage
Chapter 3: Tcl Packages & Commands 3–65chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manuallist_spsUsagelist_spsOption
3–66 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationmake_ape_connectionUsagemak
Chapter 3: Tcl Packages & Commands 3–67chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualmake_input_portUsagemake_in
3–68 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationmake_output_portUsagemake_o
Chapter 3: Tcl Packages & Commands 3–69chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualmake_spUsagemake_sp [-clk &
Copyright © 2013 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device design
1–2 Introduction to the Quartus II Scripting Reference ManualOverviewQuartus II Scripting Reference Manual © July 2013 Altera Corporation Incorporati
3–70 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationread_netlistUsageread_netli
Chapter 3: Tcl Packages & Commands 3–71chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_ape_connectionUsager
3–72 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_chainUsageremove_cha
Chapter 3: Tcl Packages & Commands 3–73chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_input_portUsageremov
3–74 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_old_cellUsageremove_
Chapter 3: Tcl Packages & Commands 3–75chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_output_portUsageremo
3–76 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_usageUsageremove_usa
Chapter 3: Tcl Packages & Commands 3–77chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualrouting_pathUsagerouting_pa
3–78 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_batch_modeUsageset_batc
Chapter 3: Tcl Packages & Commands 3–79chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_node_infoUsageset_node_
Introduction to the Quartus II Scripting Reference Manual 1–3Overview© July 2013 Altera Corporation Quartus II Scripting Reference ManualAssemblerquar
3–80 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_port_infoUsageset_port_
Chapter 3: Tcl Packages & Commands 3–81chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_tile_power_settingUsage
3–82 Chapter 3: Tcl Packages & Commandschip_plannerQuartus II Scripting Reference Manual © July 2013 Altera Corporationundo_commandUsageundo_comma
Chapter 3: Tcl Packages & Commands 3–83chip_planner© July 2013 Altera Corporation Quartus II Scripting Reference Manualupdate_node_locUsageupdate_
3–84 Chapter 3: Tcl Packages & Commandsdatabase_managerQuartus II Scripting Reference Manual © July 2013 Altera Corporationdatabase_managerThis pa
Chapter 3: Tcl Packages & Commands 3–85database_manager© July 2013 Altera Corporation Quartus II Scripting Reference Manualexport_databaseUsageexp
3–86 Chapter 3: Tcl Packages & Commandsdatabase_managerQuartus II Scripting Reference Manual © July 2013 Altera Corporationgenerate_bottom_up_scri
Chapter 3: Tcl Packages & Commands 3–87database_manager© July 2013 Altera Corporation Quartus II Scripting Reference Manual-virtual_input_pin_dela
3–88 Chapter 3: Tcl Packages & Commandsdatabase_managerQuartus II Scripting Reference Manual © July 2013 Altera Corporation-include_virtual_pins &
Chapter 3: Tcl Packages & Commands 3–89database_manager© July 2013 Altera Corporation Quartus II Scripting Reference ManualDefault is on.When you
1–4 Introduction to the Quartus II Scripting Reference ManualOverviewQuartus II Scripting Reference Manual © July 2013 Altera CorporationEDA Netlist W
3–90 Chapter 3: Tcl Packages & Commandsdatabase_managerQuartus II Scripting Reference Manual © July 2013 Altera Corporationimport_databaseUsageimp
Chapter 3: Tcl Packages & Commands 3–91device© July 2013 Altera Corporation Quartus II Scripting Reference ManualdeviceThis package contains the s
3–92 Chapter 3: Tcl Packages & CommandsdeviceQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_family_listUsageget_family_li
Chapter 3: Tcl Packages & Commands 3–93device© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_part_infoUsageget_part_info [
3–94 Chapter 3: Tcl Packages & CommandsdeviceQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_part_listUsageget_part_list [
Chapter 3: Tcl Packages & Commands 3–95device© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_device_infoUsagereport_dev
3–96 Chapter 3: Tcl Packages & CommandsdeviceQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_family_infoUsagereport_fam
Chapter 3: Tcl Packages & Commands 3–97device© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_part_infoUsagereport_part_
3–98 Chapter 3: Tcl Packages & CommandsflowQuartus II Scripting Reference Manual © July 2013 Altera CorporationflowThis package contains the set o
Chapter 3: Tcl Packages & Commands 3–99flow© July 2013 Altera Corporation Quartus II Scripting Reference Manualexecute_flowUsageexecute_flow [-ana
Introduction to the Quartus II Scripting Reference Manual 1–5Overview© July 2013 Altera Corporation Quartus II Scripting Reference ManualTcl CommandsT
3–100 Chapter 3: Tcl Packages & CommandsflowQuartus II Scripting Reference Manual © July 2013 Altera CorporationINCREMENTAL_COMPILATION_EXPORT_NET
Chapter 3: Tcl Packages & Commands 3–101flow© July 2013 Altera Corporation Quartus II Scripting Reference Manualexecute_hcUsageexecute_hc [-archiv
3–102 Chapter 3: Tcl Packages & CommandsflowQuartus II Scripting Reference Manual © July 2013 Altera Corporation# Generate a HardCopy Handoff Repo
Chapter 3: Tcl Packages & Commands 3–103flow© July 2013 Altera Corporation Quartus II Scripting Reference Manualexecute_moduleUsageexecute_module
3–104 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationincremental_com
Chapter 3: Tcl Packages & Commands 3–105incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualauto_partition_
3–106 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera CorporationExample## Parti
Chapter 3: Tcl Packages & Commands 3–107incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_partitio
3–108 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_all_logi
Chapter 3: Tcl Packages & Commands 3–109incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_all_part
1–6 Introduction to the Quartus II Scripting Reference ManualOverviewQuartus II Scripting Reference Manual © July 2013 Altera CorporationTable 3 lists
3–110 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_logicloc
Chapter 3: Tcl Packages & Commands 3–111incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_partitio
3–112 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationexport_partitio
Chapter 3: Tcl Packages & Commands 3–113incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_logiclockUs
3–114 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_logiclock_c
Chapter 3: Tcl Packages & Commands 3–115incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_partitionUs
3–116 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_partition_f
Chapter 3: Tcl Packages & Commands 3–117incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualimport_partitio
3–118 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera CorporationExamplepackage
Chapter 3: Tcl Packages & Commands 3–119incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualpartition_netli
Introduction to the Quartus II Scripting Reference Manual 1–7Related Documentation© July 2013 Altera Corporation Quartus II Scripting Reference Manual
3–120 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_logiclockUs
Chapter 3: Tcl Packages & Commands 3–121incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_logiclock_c
3–122 Chapter 3: Tcl Packages & Commandsincremental_compilationQuartus II Scripting Reference Manual © July 2013 Altera CorporationYou can use the
Chapter 3: Tcl Packages & Commands 3–123incremental_compilation© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_partitionUs
3–124 Chapter 3: Tcl Packages & Commandsinsystem_memory_editQuartus II Scripting Reference Manual © July 2013 Altera Corporationinsystem_memory_ed
Chapter 3: Tcl Packages & Commands 3–125insystem_memory_edit© July 2013 Altera Corporation Quartus II Scripting Reference Manualbegin_memory_editU
3–126 Chapter 3: Tcl Packages & Commandsinsystem_memory_editQuartus II Scripting Reference Manual © July 2013 Altera Corporationend_memory_editUsa
Chapter 3: Tcl Packages & Commands 3–127insystem_memory_edit© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_editable_mem_i
3–128 Chapter 3: Tcl Packages & Commandsinsystem_memory_editQuartus II Scripting Reference Manual © July 2013 Altera Corporationread_content_from_
Chapter 3: Tcl Packages & Commands 3–129insystem_memory_edit© July 2013 Altera Corporation Quartus II Scripting Reference Manualsave_content_from_
1–8 Introduction to the Quartus II Scripting Reference ManualRelated DocumentationQuartus II Scripting Reference Manual © July 2013 Altera Corporation
3–130 Chapter 3: Tcl Packages & Commandsinsystem_memory_editQuartus II Scripting Reference Manual © July 2013 Altera Corporationupdate_content_to_
Chapter 3: Tcl Packages & Commands 3–131insystem_memory_edit© July 2013 Altera Corporation Quartus II Scripting Reference Manualwrite_content_to_m
3–132 Chapter 3: Tcl Packages & Commandsinsystem_source_probeQuartus II Scripting Reference Manual © July 2013 Altera Corporationinsystem_source_p
Chapter 3: Tcl Packages & Commands 3–133insystem_source_probe© July 2013 Altera Corporation Quartus II Scripting Reference Manualend_insystem_sour
3–134 Chapter 3: Tcl Packages & Commandsinsystem_source_probeQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_insystem_sour
Chapter 3: Tcl Packages & Commands 3–135insystem_source_probe© July 2013 Altera Corporation Quartus II Scripting Reference Manualread_probe_dataUs
3–136 Chapter 3: Tcl Packages & Commandsinsystem_source_probeQuartus II Scripting Reference Manual © July 2013 Altera Corporationread_source_dataU
Chapter 3: Tcl Packages & Commands 3–137insystem_source_probe© July 2013 Altera Corporation Quartus II Scripting Reference Manualstart_insystem_so
3–138 Chapter 3: Tcl Packages & Commandsinsystem_source_probeQuartus II Scripting Reference Manual © July 2013 Altera Corporationwrite_source_data
Chapter 3: Tcl Packages & Commands 3–139iptclgen© July 2013 Altera Corporation Quartus II Scripting Reference ManualiptclgenThis package contains
© July 2013 Altera Corporation Quartus II Scripting Reference Manual2. Command-line Executablesquartus_asmThe Quartus® II Assembler generates a device
3–140 Chapter 3: Tcl Packages & CommandsiptclgenQuartus II Scripting Reference Manual © July 2013 Altera Corporationcompute_pllUsagecompute_pll -f
Chapter 3: Tcl Packages & Commands 3–141iptclgen© July 2013 Altera Corporation Quartus II Scripting Reference Manualgenerate_vhdl_simgen_modelUsag
3–142 Chapter 3: Tcl Packages & CommandsiptclgenQuartus II Scripting Reference Manual © July 2013 Altera Corporationparse_hdlUsageparse_hdl -core_
Chapter 3: Tcl Packages & Commands 3–143iptclgen© July 2013 Altera Corporation Quartus II Scripting Reference Manualparse_tclUsageparse_tcl [-core
3–144 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera CorporationjtagThis package contains the set
Chapter 3: Tcl Packages & Commands 3–145jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualclose_deviceUsageclose_deviceOptio
3–146 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporationdevice_dr_shiftUsagedevice_dr_shif
Chapter 3: Tcl Packages & Commands 3–147jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manual# IR and DR shift should be locked
3–148 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporationdevice_ir_shiftUsagedevice_ir_shif
Chapter 3: Tcl Packages & Commands 3–149jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manual# Close deviceclose_device
2–2 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_cdbThe Quartus® II Compi
3–150 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporationdevice_lockUsagedevice_lock -timeo
Chapter 3: Tcl Packages & Commands 3–151jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualdevice_run_test_idleUsagedevice_ru
3–152 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporationdevice_unlockUsagedevice_unlockOpt
Chapter 3: Tcl Packages & Commands 3–153jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualdevice_virtual_dr_shiftUsagedevice
3–154 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporation# The follow virtual JTAG IR and D
Chapter 3: Tcl Packages & Commands 3–155jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualdevice_virtual_ir_shiftUsagedevice
3–156 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporation# number of sample performed.# FEE
Chapter 3: Tcl Packages & Commands 3–157jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_device_namesUsageget_device_na
3–158 Chapter 3: Tcl Packages & CommandsjtagQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_hardware_namesUsageget_hardwar
Chapter 3: Tcl Packages & Commands 3–159jtag© July 2013 Altera Corporation Quartus II Scripting Reference Manualopen_deviceUsageopen_device -devic
Chapter 2: Command-line Executables 2–3quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference ManualOption Page-c=<revision name&
3–160 Chapter 3: Tcl Packages & Commandslogic_analyzer_interfaceQuartus II Scripting Reference Manual © July 2013 Altera Corporationlogic_analyzer
Chapter 3: Tcl Packages & Commands 3–161logic_analyzer_interface© July 2013 Altera Corporation Quartus II Scripting Reference Manualbegin_logic_an
3–162 Chapter 3: Tcl Packages & Commandslogic_analyzer_interfaceQuartus II Scripting Reference Manual © July 2013 Altera Corporationchange_bank_to
Chapter 3: Tcl Packages & Commands 3–163logic_analyzer_interface© July 2013 Altera Corporation Quartus II Scripting Reference Manualend_logic_anal
3–164 Chapter 3: Tcl Packages & Commandslogic_analyzer_interfaceQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_current_st
Chapter 3: Tcl Packages & Commands 3–165logic_analyzer_interface© July 2013 Altera Corporation Quartus II Scripting Reference Manualtristate_outpu
3–166 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera CorporationmiscThis package contains a set of
Chapter 3: Tcl Packages & Commands 3–167misc© July 2013 Altera Corporation Quartus II Scripting Reference ManualchecksumUsagechecksum [-algorithm
3–168 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationdisable_natural_bus_namingUsagedis
Chapter 3: Tcl Packages & Commands 3–169misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualenable_natural_bus_namingUsageenab
© July 2013 Altera Corporation Quartus II Scripting Reference ManualContentsAbout this Reference ManualRevision History . . . . . . . . . . . . . .
2–4 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera Corporation--set=<assignment=value> .
3–170 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationescape_bracketsUsageescape_bracket
Chapter 3: Tcl Packages & Commands 3–171misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset bus_name "address\[0\]&qu
3–172 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationforeach_in_collectionUsageforeach_
Chapter 3: Tcl Packages & Commands 3–173misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualputs "Section ID ($sect_id)&q
3–174 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationset file_location [get_name_info -
Chapter 3: Tcl Packages & Commands 3–175misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_collection_sizeUsageget_collec
3–176 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_environment_infoUsageget_envir
Chapter 3: Tcl Packages & Commands 3–177misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualinit_tkUsageinit_tkOptionsNoneDesc
3–178 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera CorporationloadUsageload <load_args>Opt
Chapter 3: Tcl Packages & Commands 3–179misc© July 2013 Altera Corporation Quartus II Scripting Reference Manualload_packageUsageload_package [-ve
Chapter 2: Command-line Executables 2–5quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference Manual--bottom_up_scripts_virtual_inpu
3–180 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera Corporationpost_messageUsagepost_message [-fi
Chapter 3: Tcl Packages & Commands 3–181misc© July 2013 Altera Corporation Quartus II Scripting Reference ManualqexecUsageqexec <command>Opt
3–182 Chapter 3: Tcl Packages & CommandsmiscQuartus II Scripting Reference Manual © July 2013 Altera CorporationqexitUsageqexit [-error] [-success
Chapter 3: Tcl Packages & Commands 3–183misc© July 2013 Altera Corporation Quartus II Scripting Reference ManualstopwatchUsagestopwatch [-lap_time
3–184 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationprojectThis package contains th
Chapter 3: Tcl Packages & Commands 3–185project© July 2013 Altera Corporation Quartus II Scripting Reference ManualCommand Pageassignment_group ..
3–186 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationassignment_groupUsageassignment
Chapter 3: Tcl Packages & Commands 3–187project© July 2013 Altera Corporation Quartus II Scripting Reference ManualThis command sets a multicycle
3–188 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_revisionUsagecreate_revi
Chapter 3: Tcl Packages & Commands 3–189project© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_revisionUsagedelete_revi
2–6 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera Corporation ## Compile the HardCopy II re
3–190 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationexecute_assignment_batchUsageex
Chapter 3: Tcl Packages & Commands 3–191project© July 2013 Altera Corporation Quartus II Scripting Reference Manualexport_assignmentsUsageexport_a
3–192 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_assignment_namesUsagege
Chapter 3: Tcl Packages & Commands 3–193project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_all_assignmentsUsageget_all
3–194 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationAll other uses of square bracke
Chapter 3: Tcl Packages & Commands 3–195project© July 2013 Altera Corporation Quartus II Scripting Reference Manual} ## View all entity-specifi
3–196 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_global_assignmentsUsage
Chapter 3: Tcl Packages & Commands 3–197project© July 2013 Altera Corporation Quartus II Scripting Reference ManualFor entity-specific assignments
3–198 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_instance_assignmentsUsa
Chapter 3: Tcl Packages & Commands 3–199project© July 2013 Altera Corporation Quartus II Scripting Reference ManualEach element of the collection
Chapter 2: Command-line Executables 2–7quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference ManualOptional ContentAs mentioned abo
3–200 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_parametersUsageget_all_
Chapter 3: Tcl Packages & Commands 3–201project© July 2013 Altera Corporation Quartus II Scripting Reference ManualIf you tagged data by making as
3–202 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_quartus_defaultsUsagege
Chapter 3: Tcl Packages & Commands 3–203project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset name [lindex $default 1]set
3–204 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_all_user_option_namesUsageg
Chapter 3: Tcl Packages & Commands 3–205project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_assignment_infoUsageget_ass
3–206 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_assignment_name_infoUsagege
Chapter 3: Tcl Packages & Commands 3–207project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_current_revisionUsageget_cu
3–208 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_global_assignmentUsageget_g
Chapter 3: Tcl Packages & Commands 3–209project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_instance_assignmentUsageget
2–8 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera CorporationUsagequartus_cdb <project>
3–210 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_location_assignmentUsageget
Chapter 3: Tcl Packages & Commands 3–211project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_name_infoUsageget_name_info
3–212 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationExample# Get the name id of the
Chapter 3: Tcl Packages & Commands 3–213project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_namesUsageget_names [-entit
3–214 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationset target [get_name_info -info
Chapter 3: Tcl Packages & Commands 3–215project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_parameterUsageget_parameter
3–216 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_project_directoryUsageget_p
Chapter 3: Tcl Packages & Commands 3–217project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_project_revisionsUsageget_p
3–218 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_top_level_entityUsageget_to
Chapter 3: Tcl Packages & Commands 3–219project© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_user_optionUsageget_user_op
Chapter 2: Command-line Executables 2–9quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference ManualUsagequartus_cdb <project>
3–220 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationis_project_openUsageis_project_
Chapter 3: Tcl Packages & Commands 3–221project© July 2013 Altera Corporation Quartus II Scripting Reference Manualproject_archiveUsageproject_arc
3–222 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationproject_closeUsageproject_close
Chapter 3: Tcl Packages & Commands 3–223project© July 2013 Altera Corporation Quartus II Scripting Reference Manualproject_existsUsageproject_exis
3–224 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationproject_newUsageproject_new [-f
Chapter 3: Tcl Packages & Commands 3–225project© July 2013 Altera Corporation Quartus II Scripting Reference Manualproject_openUsageproject_open [
3–226 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationproject_restoreUsageproject_res
Chapter 3: Tcl Packages & Commands 3–227project© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_all_global_assignmentsUs
3–228 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationThese two Tcl commands reside i
Chapter 3: Tcl Packages & Commands 3–229project© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_all_instance_assignments
2–10 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera CorporationPost-synthesis files <direct
3–230 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationFor entity-specific assignments
Chapter 3: Tcl Packages & Commands 3–231project© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_all_parametersUsageremov
3–232 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera CorporationThese two Tcl commands reside i
Chapter 3: Tcl Packages & Commands 3–233project© July 2013 Altera Corporation Quartus II Scripting Reference Manualresolve_file_pathUsageresolve_f
3–234 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationrevision_existsUsagerevision_ex
Chapter 3: Tcl Packages & Commands 3–235project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_current_revisionUsageset_cu
3–236 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_global_assignmentUsageset_g
Chapter 3: Tcl Packages & Commands 3–237project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_instance_assignmentUsageset
3–238 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_instance_assignment -from &
Chapter 3: Tcl Packages & Commands 3–239project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_io_assignmentUsageset_io_as
Chapter 2: Command-line Executables 2–11quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference ManualMakefiles are designed to work
3–240 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_location_assignmentUsageset
Chapter 3: Tcl Packages & Commands 3–241project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_parameterUsageset_parameter
3–242 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporation The predefined Altera LPM_PIP
Chapter 3: Tcl Packages & Commands 3–243project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_power_file_assignmentUsages
3–244 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_power_file_assignment -vcd_
Chapter 3: Tcl Packages & Commands 3–245project© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_user_optionUsageset_user_op
3–246 Chapter 3: Tcl Packages & CommandsprojectQuartus II Scripting Reference Manual © July 2013 Altera Corporationtest_assignment_traitUsagetest_
Chapter 3: Tcl Packages & Commands 3–247report© July 2013 Altera Corporation Quartus II Scripting Reference ManualreportThis package contains a se
3–248 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationadd_row_to_tableUsageadd_row_to_
Chapter 3: Tcl Packages & Commands 3–249report© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_report_panelUsagecreate_r
2–12 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera CorporationThis option is enabled by defau
3–250 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationunload_reportproject_close
Chapter 3: Tcl Packages & Commands 3–251report© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_report_panelUsagedelete_r
3–252 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporation# Save the changes to the report
Chapter 3: Tcl Packages & Commands 3–253report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_fitter_resource_usageUsagege
3–254 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_number_of_columnsUsageget_nu
Chapter 3: Tcl Packages & Commands 3–255report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_number_of_rowsUsageget_numbe
3–256 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_report_panel_column_indexUsa
Chapter 3: Tcl Packages & Commands 3–257report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_report_panel_dataUsageget_re
3–258 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporation# Get row {Revision Name} - colu
Chapter 3: Tcl Packages & Commands 3–259report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_report_panel_idUsageget_repo
Chapter 2: Command-line Executables 2–13quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference Manual--incremental_compilation_expor
3–260 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_report_panel_namesUsageget_r
Chapter 3: Tcl Packages & Commands 3–261report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_report_panel_rowUsageget_rep
3–262 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_report_panel_row_indexUsageg
Chapter 3: Tcl Packages & Commands 3–263report© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_timing_analysis_summary_resu
3–264 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationload_reportUsageload_report [-si
Chapter 3: Tcl Packages & Commands 3–265report© July 2013 Altera Corporation Quartus II Scripting Reference Manualread_xml_reportUsageread_xml_rep
3–266 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationsave_report_databaseUsagesave_re
Chapter 3: Tcl Packages & Commands 3–267report© July 2013 Altera Corporation Quartus II Scripting Reference Manualunload_reportUsageunload_reportO
3–268 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationwrite_report_panelUsagewrite_rep
Chapter 3: Tcl Packages & Commands 3–269report© July 2013 Altera Corporation Quartus II Scripting Reference Manualunload_reportproject_close
iv ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporation--incremental_compilation_export_partition_name[=<name>] . . . .
2–14 Chapter 2: Command-line Executablesquartus_cdbQuartus II Scripting Reference Manual © July 2013 Altera CorporationExamples## Run Analysis & S
3–270 Chapter 3: Tcl Packages & CommandsreportQuartus II Scripting Reference Manual © July 2013 Altera Corporationwrite_xml_reportUsagewrite_xml_r
Chapter 3: Tcl Packages & Commands 3–271rtl© July 2013 Altera Corporation Quartus II Scripting Reference ManualrtlThis package contains the set of
3–272 Chapter 3: Tcl Packages & CommandsrtlQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_rtl_cell_infoUsageget_rtl_cell_
Chapter 3: Tcl Packages & Commands 3–273rtl© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_rtl_cellsUsageget_rtl_cells [-h
3–274 Chapter 3: Tcl Packages & CommandsrtlQuartus II Scripting Reference Manual © July 2013 Altera Corporation puts [get_rtl_cell_info -name $
Chapter 3: Tcl Packages & Commands 3–275rtl© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_rtl_faninsUsageget_rtl_fanins [
3–276 Chapter 3: Tcl Packages & CommandsrtlQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_rtl_fanoutsUsageget_rtl_fanouts
Chapter 3: Tcl Packages & Commands 3–277rtl© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_rtl_pin_infoUsageget_rtl_pin_in
3–278 Chapter 3: Tcl Packages & CommandsrtlQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_rtl_pinsUsageget_rtl_pins [-hie
Chapter 3: Tcl Packages & Commands 3–279rtl© July 2013 Altera Corporation Quartus II Scripting Reference Manual puts -nonewline ": "
Chapter 2: Command-line Executables 2–15quartus_cdb© July 2013 Altera Corporation Quartus II Scripting Reference Manual--update_mifOption to update me
3–280 Chapter 3: Tcl Packages & CommandsrtlQuartus II Scripting Reference Manual © July 2013 Altera Corporationload_rtl_netlistUsageload_rtl_netli
Chapter 3: Tcl Packages & Commands 3–281rtl© July 2013 Altera Corporation Quartus II Scripting Reference Manualunload_rtl_netlistUsageunload_rtl_n
3–282 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera CorporationsdcSynopsys Design Constraint (SDC)
Chapter 3: Tcl Packages & Commands 3–283sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualall_clocksUsageall_clocksOptionsNon
3–284 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationall_inputsUsageall_inputsOptionsNon
Chapter 3: Tcl Packages & Commands 3–285sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualall_outputsUsageall_outputsOptionsN
3–286 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationall_registersUsageall_registersOpti
Chapter 3: Tcl Packages & Commands 3–287sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_clockUsagecreate_clock [-add
3–288 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_generated_clockUsagecreate_g
Chapter 3: Tcl Packages & Commands 3–289sdc© July 2013 Altera Corporation Quartus II Scripting Reference ManualClock generation can also be specif
2–16 Chapter 2: Command-line Executablesquartus_cpfQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_cpfThe Quartus® II Conv
3–290 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationderive_clocksUsagederive_clocks -pe
Chapter 3: Tcl Packages & Commands 3–291sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_cellsUsageget_cells [-compatibi
3–292 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset mycollection [get_cells *]# Cre
Chapter 3: Tcl Packages & Commands 3–293sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_clocksUsageget_clocks [-nocase]
3–294 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_netsUsageget_nets [-no_duplicat
Chapter 3: Tcl Packages & Commands 3–295sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_pinsUsageget_pins [-compatibili
3–296 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_pins reg|*# Create a collection
Chapter 3: Tcl Packages & Commands 3–297sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_portsUsageget_ports [-nocase] [
3–298 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_clock_groupsUsageremove_cloc
Chapter 3: Tcl Packages & Commands 3–299sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_clock_latencyUsageremove_clo
Chapter 2: Command-line Executables 2–17quartus_cpf© July 2013 Altera Corporation Quartus II Scripting Reference ManualThis command includes help on t
3–300 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_clock_uncertaintyUsageremove
Chapter 3: Tcl Packages & Commands 3–301sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_disable_timingUsageremove_di
3–302 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_input_delayUsageremove_input
Chapter 3: Tcl Packages & Commands 3–303sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_output_delayUsageremove_outp
3–304 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationreset_designUsagereset_designOption
Chapter 3: Tcl Packages & Commands 3–305sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_clock_groupsUsageset_clock_grou
3–306 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_clock_latencyUsageset_clock_lat
Chapter 3: Tcl Packages & Commands 3–307sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manual# Apply a simple 2.000 ns source la
3–308 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_clock_uncertaintyUsageset_clock
Chapter 3: Tcl Packages & Commands 3–309sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_disable_timingUsageset_disable_
2–18 Chapter 2: Command-line Executablesquartus_cpfQuartus II Scripting Reference Manual © July 2013 Altera Corporation-q=<frequency with units>
3–310 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_false_pathUsageset_false_path [
Chapter 3: Tcl Packages & Commands 3–311sdc© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe -setup and -hold options allow
3–312 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_input_delayUsageset_input_delay
Chapter 3: Tcl Packages & Commands 3–313sdc© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample# Simple input delay with th
3–314 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_input_transitionUsageset_input_
Chapter 3: Tcl Packages & Commands 3–315sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_max_delayUsageset_max_delay [-f
3–316 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe -rise_to and -fall_to options b
Chapter 3: Tcl Packages & Commands 3–317sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_min_delayUsageset_min_delay [-f
3–318 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe -rise_from and -fall_from optio
Chapter 3: Tcl Packages & Commands 3–319sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_multicycle_pathUsageset_multicy
Chapter 2: Command-line Executables 2–19quartus_cpf© July 2013 Altera Corporation Quartus II Scripting Reference Manual--frequency=<frequency with
3–320 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera CorporationIf pin names or collections are use
Chapter 3: Tcl Packages & Commands 3–321sdc© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_output_delayUsageset_output_del
3–322 Chapter 3: Tcl Packages & CommandssdcQuartus II Scripting Reference Manual © July 2013 Altera CorporationExample# Simple output delay with t
Chapter 3: Tcl Packages & Commands 3–323sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualsdc_extTiming Constraints not d
3–324 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationderive_clock_uncertaintyUsagede
Chapter 3: Tcl Packages & Commands 3–325sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualderive_pll_clocksUsagederive_pl
3–326 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_assignment_groupsUsageget_a
Chapter 3: Tcl Packages & Commands 3–327sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_faninsUsageget_fanins [-asy
3–328 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_fanoutsUsageget_fanouts [-i
Chapter 3: Tcl Packages & Commands 3–329sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_keepersUsageget_keepers [-n
2–20 Chapter 2: Command-line Executablesquartus_cpfQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe following are valid strings
3–330 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_nodesUsageget_nodes [-no_du
Chapter 3: Tcl Packages & Commands 3–331sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_partitionsUsageget_partitio
3–332 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_registersUsageget_registers
Chapter 3: Tcl Packages & Commands 3–333sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_annotated_delayUsageremo
3–334 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationremove_clockUsageremove_clock [
Chapter 3: Tcl Packages & Commands 3–335sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualreset_timing_derateUsagereset_t
3–336 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_active_clocksUsageset_activ
Chapter 3: Tcl Packages & Commands 3–337sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_annotated_delayUsageset_ann
3–338 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_max_skewUsageset_max_skew [
Chapter 3: Tcl Packages & Commands 3–339sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference ManualUse the -include and -exclude o
Chapter 2: Command-line Executables 2–21quartus_cpf© July 2013 Altera Corporation Quartus II Scripting Reference Manualin which keyfile is a valid Key
3–340 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_net_delayUsageset_net_delay
Chapter 3: Tcl Packages & Commands 3–341sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_scc_modeUsageset_scc_mode [
3–342 Chapter 3: Tcl Packages & Commandssdc_extQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_time_formatUsageset_time_fo
Chapter 3: Tcl Packages & Commands 3–343sdc_ext© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_timing_derateUsageset_timin
3–344 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera CorporationsimulatorThis package contain
Chapter 3: Tcl Packages & Commands 3–345simulator© July 2013 Altera Corporation Quartus II Scripting Reference ManualCommand Pagecompare_vector ..
3–346 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationcompare_vectorUsagecompare_ve
Chapter 3: Tcl Packages & Commands 3–347simulator© July 2013 Altera Corporation Quartus II Scripting Reference ManualDescriptionCompare two simula
3–348 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera CorporationExample 6---------# Compare d
Chapter 3: Tcl Packages & Commands 3–349simulator© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample 12----------# Compare
2–22 Chapter 2: Command-line Executablesquartus_cpfQuartus II Scripting Reference Manual © July 2013 Altera CorporationjamTo generate a JEDEC STAPL Fo
3–350 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationconvert_vectorUsageconvert_ve
Chapter 3: Tcl Packages & Commands 3–351simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_simulation_breakpointU
3–352 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_all_simulation_breakpo
Chapter 3: Tcl Packages & Commands 3–353simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualdelete_simulation_breakpointU
3–354 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationdisable_all_simulation_breakp
Chapter 3: Tcl Packages & Commands 3–355simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualdisable_simulation_breakpoint
3–356 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationenable_all_simulation_breakpo
Chapter 3: Tcl Packages & Commands 3–357simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualenable_simulation_breakpointU
3–358 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationfast_write_to_simulation_memo
Chapter 3: Tcl Packages & Commands 3–359simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualforce_simulation_valueUsagefo
Chapter 2: Command-line Executables 2–23quartus_cpf© July 2013 Altera Corporation Quartus II Scripting Reference ManualAlternatively, you can change c
3–360 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationforce_simulation_value -node
Chapter 3: Tcl Packages & Commands 3–361simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_simulation_memory_infoUsa
3–362 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_simulation_timeUsageget_s
Chapter 3: Tcl Packages & Commands 3–363simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_simulation_valueUsageget_
3–364 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationgroup_simulation_signalUsageg
Chapter 3: Tcl Packages & Commands 3–365simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualinitialize_simulationUsageini
3–366 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe option "-ignore_vect
Chapter 3: Tcl Packages & Commands 3–367simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualpartition_vectorUsagepartitio
3–368 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationread_from_simulation_memoryUs
Chapter 3: Tcl Packages & Commands 3–369simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualrelease_simulation_valueUsage
Contents v© July 2013 Altera Corporation Quartus II Scripting Reference Manual--post_syn[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . .
2–24 Chapter 2: Command-line Executablesquartus_cpfQuartus II Scripting Reference Manual © July 2013 Altera CorporationttfTo generate a Tabular Text F
3–370 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationrun_simulationUsagerun_simula
Chapter 3: Tcl Packages & Commands 3–371simulator© July 2013 Altera Corporation Quartus II Scripting Reference Manualset_simulation_clockUsageset_
3–372 Chapter 3: Tcl Packages & CommandssimulatorQuartus II Scripting Reference Manual © July 2013 Altera Corporationwrite_to_simulation_memoryUsa
Chapter 3: Tcl Packages & Commands 3–373sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualstaThis package contains the set of
3–374 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationCommand Pageadd_to_collection ...
Chapter 3: Tcl Packages & Commands 3–375sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_path ...
3–376 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationadd_to_collectionUsageadd_to_collec
Chapter 3: Tcl Packages & Commands 3–377sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualcheck_timingUsagecheck_timing [-app
3–378 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe loops check verifies that there
Chapter 3: Tcl Packages & Commands 3–379sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_report_histogramUsagecreate_
Chapter 2: Command-line Executables 2–25quartus_drc© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_drcThe Quartus II Desig
3–380 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationExample# create a path-based slack
Chapter 3: Tcl Packages & Commands 3–381sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_slack_histogramUsagecreate_s
3–382 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_timing_netlistproject_close
Chapter 3: Tcl Packages & Commands 3–383sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_timing_netlistUsagecreate_ti
3–384 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation# Ready to call report functionsrep
Chapter 3: Tcl Packages & Commands 3–385sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_timing_summaryUsagecreate_ti
3–386 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_timing_netlistUsagedelete_ti
Chapter 3: Tcl Packages & Commands 3–387sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualenable_ccpp_removalUsageenable_ccpp
3–388 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationenable_sdc_extension_collectionsUsa
Chapter 3: Tcl Packages & Commands 3–389sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_available_operating_conditionsU
2–26 Chapter 2: Command-line Executablesquartus_drcQuartus II Scripting Reference Manual © July 2013 Altera Corporation--hc[=on|off]Option to generate
3–390 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_cell_infoUsageget_cell_info [-b
Chapter 3: Tcl Packages & Commands 3–391sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_clock_domain_infoUsageget_clock
3–392 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_clock_fmax_infoUsageget_clock_f
Chapter 3: Tcl Packages & Commands 3–393sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_clock_infoUsageget_clock_info [
3–394 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationExampleproject_open chiptripcreate_
Chapter 3: Tcl Packages & Commands 3–395sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_datasheetUsageget_datasheetOpti
3–396 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation <mintpd rise-fall time>
Chapter 3: Tcl Packages & Commands 3–397sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_default_sdc_file_namesUsageget_
3–398 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_edge_infoUsageget_edge_info [-d
Chapter 3: Tcl Packages & Commands 3–399sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_edge_slacksUsageget_edge_slacks
Chapter 2: Command-line Executables 2–27quartus_eda© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_edaThe Quartus II EDA N
3–400 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_min_pulse_widthUsageget_min_pul
Chapter 3: Tcl Packages & Commands 3–401sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_net_infoUsageget_net_info [-nam
3–402 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_node_infoUsageget_node_info [-a
Chapter 3: Tcl Packages & Commands 3–403sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_object_infoUsageget_object_info
3–404 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_operating_conditionsUsageget_op
Chapter 3: Tcl Packages & Commands 3–405sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_operating_conditions_infoUsageg
3–406 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_partition_infoUsageget_partitio
Chapter 3: Tcl Packages & Commands 3–407sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_pathUsageget_path [-from <na
3–408 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationputs \ [format "%10s %8s %-
Chapter 3: Tcl Packages & Commands 3–409sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_path_infoUsageget_path_info [-a
2–28 Chapter 2: Command-line Executablesquartus_edaQuartus II Scripting Reference Manual © July 2013 Altera CorporationOption Page-c=<revision name
3–410 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe -from and -to options return th
Chapter 3: Tcl Packages & Commands 3–411sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualputs "From Clock : [ get_clock
3–412 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_pin_infoUsageget_pin_info [-is_
Chapter 3: Tcl Packages & Commands 3–413sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_point_infoUsageget_point_info [
3–414 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe -type option returns a string i
Chapter 3: Tcl Packages & Commands 3–415sta© July 2013 Altera Corporation Quartus II Scripting Reference Manual}}return $clk_str}proc print_point
3–416 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_port_infoUsageget_port_info [-e
Chapter 3: Tcl Packages & Commands 3–417sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_register_infoUsageget_register_
3–418 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationget_timing_pathsUsageget_timing_pat
Chapter 3: Tcl Packages & Commands 3–419sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualThis command behaves the same as th
Chapter 2: Command-line Executables 2–29quartus_eda© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe exact type of output file(s
3–420 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation# pathforeach_in_collection path [
Chapter 3: Tcl Packages & Commands 3–421sta© July 2013 Altera Corporation Quartus II Scripting Reference ManuallocateUsagelocate [-chip] [-color &
3–422 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation# Locate all of the nodes in the lo
Chapter 3: Tcl Packages & Commands 3–423sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualquery_collectionUsagequery_collecti
3–424 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationread_sdcUsageread_sdc [-hdl] <fi
Chapter 3: Tcl Packages & Commands 3–425sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_from_collectionUsageremove_f
3–426 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_advanced_io_timingUsagerepor
Chapter 3: Tcl Packages & Commands 3–427sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_bottleneckUsagereport_bottle
3–428 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationExampleproject_open my_projectcreat
Chapter 3: Tcl Packages & Commands 3–429sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_clock_fmax_summaryUsagerepor
2–30 Chapter 2: Command-line Executablesquartus_edaQuartus II Scripting Reference Manual © July 2013 Altera Corporation--gen_script=<NONE>Option
3–430 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_clock_transfersUsagereport_c
Chapter 3: Tcl Packages & Commands 3–431sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_clocksUsagereport_clocks [-a
3–432 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_datasheetUsagereport_datashe
Chapter 3: Tcl Packages & Commands 3–433sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_ddrUsagereport_ddr [-append]
3–434 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_exceptionsUsagereport_except
Chapter 3: Tcl Packages & Commands 3–435sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualDescriptionReports the status and t
3–436 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationFalse path exceptions (set_false_pa
Chapter 3: Tcl Packages & Commands 3–437sta© July 2013 Altera Corporation Quartus II Scripting Reference Manual# recovery analysis, reporting the
3–438 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_max_skewUsagereport_max_skew
Chapter 3: Tcl Packages & Commands 3–439sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe return value of this command is
Chapter 2: Command-line Executables 2–31quartus_eda© July 2013 Altera Corporation Quartus II Scripting Reference Manual--simulation[=on|off]A top-leve
3–440 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation 0.100 -detail full_pathdelete_t
Chapter 3: Tcl Packages & Commands 3–441sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_metastabilityUsagereport_met
3–442 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationSettingsTo get a list of possible s
Chapter 3: Tcl Packages & Commands 3–443sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualPlease refer to the Metastabiliity
3–444 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_min_pulse_widthUsagereport_m
Chapter 3: Tcl Packages & Commands 3–445sta© July 2013 Altera Corporation Quartus II Scripting Reference Manual# Report minimum pulse width checks
3–446 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_net_delayUsagereport_net_del
Chapter 3: Tcl Packages & Commands 3–447sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_net_timingUsagereport_net_ti
3–448 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_partitionsUsagereport_partit
Chapter 3: Tcl Packages & Commands 3–449sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_pathUsagereport_path [-appen
2–32 Chapter 2: Command-line Executablesquartus_edaQuartus II Scripting Reference Manual © July 2013 Altera CorporationSimulation Tool as shown in GUI
3–450 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationUse the "-pairs_only" opt
Chapter 3: Tcl Packages & Commands 3–451sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_rskmUsagereport_rskm [-appen
3–452 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_sdcUsagereport_sdc [-append]
Chapter 3: Tcl Packages & Commands 3–453sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_skewUsagereport_skew [-appen
3–454 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera CorporationDescriptionThis report performs ske
Chapter 3: Tcl Packages & Commands 3–455sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe "Type" column in the
3–456 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_tccsUsagereport_tccs [-appen
Chapter 3: Tcl Packages & Commands 3–457sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_timingUsagereport_timing [-a
3–458 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation-to <names>: Valid destinatio
Chapter 3: Tcl Packages & Commands 3–459sta© July 2013 Altera Corporation Quartus II Scripting Reference ManualThe "Type" column in the
Chapter 2: Command-line Executables 2–33quartus_eda© July 2013 Altera Corporation Quartus II Scripting Reference Manual--user_compiled_simlib_dir=<
3–460 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporation# The following command is optional
Chapter 3: Tcl Packages & Commands 3–461sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualreport_ucpUsagereport_ucp [-append]
3–462 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_operating_conditionsUsageset_op
Chapter 3: Tcl Packages & Commands 3–463sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualtiming_netlist_existUsagetiming_net
3–464 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationupdate_timing_netlistUsageupdate_ti
Chapter 3: Tcl Packages & Commands 3–465sta© July 2013 Altera Corporation Quartus II Scripting Reference Manualuse_timequest_style_escapingUsageus
3–466 Chapter 3: Tcl Packages & CommandsstaQuartus II Scripting Reference Manual © July 2013 Altera Corporationwrite_sdcUsagewrite_sdc [-expand] [
Chapter 3: Tcl Packages & Commands 3–467stp© July 2013 Altera Corporation Quartus II Scripting Reference ManualstpThis package contains the set of
3–468 Chapter 3: Tcl Packages & CommandsstpQuartus II Scripting Reference Manual © July 2013 Altera Corporationclose_sessionUsageclose_sessionOpti
Chapter 3: Tcl Packages & Commands 3–469stp© July 2013 Altera Corporation Quartus II Scripting Reference Manualopen_sessionUsageopen_session -name
vi ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporation-j . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2–34 Chapter 2: Command-line Executablesquartus_fitQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_fitThe Quartus® II Fitt
3–470 Chapter 3: Tcl Packages & CommandsstpQuartus II Scripting Reference Manual © July 2013 Altera CorporationrunUsagerun [-check] [-data_log <
Chapter 3: Tcl Packages & Commands 3–471stp© July 2013 Altera Corporation Quartus II Scripting Reference Manualrun_multiple_endUsagerun_multiple_e
3–472 Chapter 3: Tcl Packages & CommandsstpQuartus II Scripting Reference Manual © July 2013 Altera Corporationrun_multiple_startUsagerun_multiple
Chapter 3: Tcl Packages & Commands 3–473stp© July 2013 Altera Corporation Quartus II Scripting Reference ManualstopUsagestopOptionsNoneDescription
3–474 Chapter 3: Tcl Packages & CommandstimingQuartus II Scripting Reference Manual © July 2013 Altera CorporationtimingThis package contains the
Chapter 3: Tcl Packages & Commands 3–475timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualcompute_slack_on_edgesUsagecompu
3–476 Chapter 3: Tcl Packages & CommandstimingQuartus II Scripting Reference Manual © July 2013 Altera Corporationcreate_timing_netlistUsagecreate
Chapter 3: Tcl Packages & Commands 3–477timing© July 2013 Altera Corporation Quartus II Scripting Reference Manual# Report hold violation for fast
3–478 Chapter 3: Tcl Packages & CommandstimingQuartus II Scripting Reference Manual © July 2013 Altera Corporationdelete_timing_netlistUsagedelete
Chapter 3: Tcl Packages & Commands 3–479timing© July 2013 Altera Corporation Quartus II Scripting Reference Manualremove_timing_tablesUsageremove_
Chapter 2: Command-line Executables 2–35quartus_fit© July 2013 Altera Corporation Quartus II Scripting Reference ManualHelp Topic Pagearguments ...
3–480 Chapter 3: Tcl Packages & CommandstimingQuartus II Scripting Reference Manual © July 2013 Altera Corporationreport_timingUsagereport_timing
Chapter 3: Tcl Packages & Commands 3–481timing© July 2013 Altera Corporation Quartus II Scripting Reference ManualYou can use one or more of the f
3–482 Chapter 3: Tcl Packages & CommandstimingQuartus II Scripting Reference Manual © July 2013 Altera Corporation# List the top 5 pin-to-pin comb
Chapter 3: Tcl Packages & Commands 3–483timing© July 2013 Altera Corporation Quartus II Scripting Reference Manual report_timing -clock_setup -
3–484 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationtiming_assignmentThis
Chapter 3: Tcl Packages & Commands 3–485timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_base_clockUsag
3–486 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera CorporationAssignments created o
Chapter 3: Tcl Packages & Commands 3–487timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference Manualcreate_relative_clock
3–488 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe "-phase_shif
Chapter 3: Tcl Packages & Commands 3–489timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference Manualget_clocksUsageget_cl
2–36 Chapter 2: Command-line Executablesquartus_fitQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe following table describes l
3–490 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_clock_latencyUsag
Chapter 3: Tcl Packages & Commands 3–491timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample## Set early c
3–492 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_clock_uncertainty
Chapter 3: Tcl Packages & Commands 3–493timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference ManualThese two Tcl command
3–494 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_input_delayUsages
Chapter 3: Tcl Packages & Commands 3–495timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample## Specify the
3–496 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_multicycle_assign
Chapter 3: Tcl Packages & Commands 3–497timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference Manual export_assignments
3–498 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_output_delayUsage
Chapter 3: Tcl Packages & Commands 3–499timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample## Specify the
Chapter 2: Command-line Executables 2–37quartus_fit© July 2013 Altera Corporation Quartus II Scripting Reference Manual--io_smart_recompileOption to r
3–500 Chapter 3: Tcl Packages & Commandstiming_assignmentQuartus II Scripting Reference Manual © July 2013 Altera Corporationset_timing_cut_assign
Chapter 3: Tcl Packages & Commands 3–501timing_assignment© July 2013 Altera Corporation Quartus II Scripting Reference ManualExample## Set timing
3–502 Chapter 3: Tcl Packages & Commandstiming_reportQuartus II Scripting Reference Manual © July 2013 Altera Corporationtiming_reportThis package
Chapter 3: Tcl Packages & Commands 3–503timing_report© July 2013 Altera Corporation Quartus II Scripting Reference Manuallist_pathUsagelist_path [
3–504 Chapter 3: Tcl Packages & Commandstiming_reportQuartus II Scripting Reference Manual © July 2013 Altera Corporation# To match all indices of
2–38 Chapter 2: Command-line Executablesquartus_fitQuartus II Scripting Reference Manual © July 2013 Altera CorporationThis option overrides the setti
Chapter 2: Command-line Executables 2–39quartus_fit© July 2013 Altera Corporation Quartus II Scripting Reference Manual--tpd=<time unit>Option t
2–40 Chapter 2: Command-line Executablesquartus_fitQuartus II Scripting Reference Manual © July 2013 Altera Corporationfs femtosecond(s)hz hertzkhz ki
Chapter 2: Command-line Executables 2–41quartus_jbcc© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_jbccThe Quartus® II JA
2–42 Chapter 2: Command-line Executablesquartus_jliQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_jliThe Quartus® II JBI
Chapter 2: Command-line Executables 2–43quartus_jli© July 2013 Altera Corporation Quartus II Scripting Reference Manual-c=<jtagserver cable number&
Contents vii© July 2013 Altera Corporation Quartus II Scripting Reference Manual--mode=<programming mode> . . . . . . . . . . . . . . . . . . .
2–44 Chapter 2: Command-line Executablesquartus_jliQuartus II Scripting Reference Manual © July 2013 Altera CorporationUse -i to display an procedures
Chapter 2: Command-line Executables 2–45quartus_map© July 2013 Altera Corporation Quartus II Scripting Reference Manualquartus_mapQuartus® II Analysis
2–46 Chapter 2: Command-line Executablesquartus_mapQuartus II Scripting Reference Manual © July 2013 Altera CorporationThis command includes help on t
Chapter 2: Command-line Executables 2–47quartus_map© July 2013 Altera Corporation Quartus II Scripting Reference Manual--family=<device family>O
2–48 Chapter 2: Command-line Executablesquartus_mapQuartus II Scripting Reference Manual © July 2013 Altera Corporation--lib_path=<path>Option t
Chapter 2: Command-line Executables 2–49quartus_map© July 2013 Altera Corporation Quartus II Scripting Reference Manual--source=<source file>Opt
2–50 Chapter 2: Command-line Executablesquartus_pgmQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_pgmThe Quartus® II Prog
Chapter 2: Command-line Executables 2–51quartus_pgm© July 2013 Altera Corporation Quartus II Scripting Reference Manual-aRefer to the help for --auto
2–52 Chapter 2: Command-line Executablesquartus_pgmQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe following syntax is support
Chapter 2: Command-line Executables 2–53quartus_pgm© July 2013 Altera Corporation Quartus II Scripting Reference ManualV, CV, VL, CVL, IVB, CB, IBR, R
viii ContentsQuartus II Scripting Reference Manual © July 2013 Altera Corporation--simulation_results_format=<VWF|CVWF|VCD> . . . . . . . . . .
2–54 Chapter 2: Command-line Executablesquartus_powQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_powThe Quartus II Power
Chapter 2: Command-line Executables 2–55quartus_pow© July 2013 Altera Corporation Quartus II Scripting Reference Manual--default_input_io_toggle_rate=
2–56 Chapter 2: Command-line Executablesquartus_powQuartus II Scripting Reference Manual © July 2013 Altera CorporationThe input_saf option should not
Chapter 2: Command-line Executables 2–57quartus_pow© July 2013 Altera Corporation Quartus II Scripting Reference ManualNote: Regardless of the setting
2–58 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_shThe Quartus® II Shell
Chapter 2: Command-line Executables 2–59quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference ManualHelp Topic PageTcl ...
2–60 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera Corporation2. Adds all files discovered or
Chapter 2: Command-line Executables 2–61quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference Manual--dseTHE ALTERA DESIGN SPACE EXP
2–62 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera CorporationInstructs DSE to archive all poi
Chapter 2: Command-line Executables 2–63quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference ManualChanges the optimization goal us
Contents ix© July 2013 Altera Corporation Quartus II Scripting Reference Manual--tco=<time unit> . . . . . . . . . . . . . . . . . . . . . . .
2–64 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera CorporationInstructs DSE to stop exploring
Chapter 2: Command-line Executables 2–65quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference ManualAdditional information is also a
2–66 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera Corporation# You can do the same manually (
Chapter 2: Command-line Executables 2–67quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference Manual--qinstallOption to install Quar
2–68 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera CorporationThis command starts the Distribu
Chapter 2: Command-line Executables 2–69quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference ManualExamples# Only display the conte
2–70 Chapter 2: Command-line Executablesquartus_shQuartus II Scripting Reference Manual © July 2013 Altera CorporationSynopsis Usagequartus_sh --simli
Chapter 2: Command-line Executables 2–71quartus_sh© July 2013 Altera Corporation Quartus II Scripting Reference ManualNot a required option. Specifies
2–72 Chapter 2: Command-line Executablesquartus_siQuartus II Scripting Reference Manual © July 2013 Altera Corporationquartus_siThe Quartus® II SSN An
Chapter 2: Command-line Executables 2–73quartus_si© July 2013 Altera Corporation Quartus II Scripting Reference Manual--grouping[=on|off]Specify for S
Comments to this Manuals