Altera PCI Compiler User Manual

Browse online or download User Manual for Measuring instruments Altera PCI Compiler. Altera PCI Compiler User Manual

  • Download
  • Add to my manuals
  • Print
  • Page
    / 360
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews

Summary of Contents

Page 1 - User Guide

101 Innovation DriveSan Jose, CA 95134www.altera.comPCI CompilerUser GuideCompiler Version: 11.1Document Date: October 2011c The PCI Compiler is sched

Page 2

x User Guide Version 11.1 Altera CorporationPCI CompilerContentsOrdering PCI-to-Avalon Operations ...

Page 3 - UG-PCICOMPILER-4.12

3–26 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Bus SignalsTable 3–10 shows definitions for the local master transaction s

Page 4

Altera Corporation User Guide Version 11.1 3–27October 2011Functional DescriptionPCI Bus CommandsTable 3–11 shows the PCI bus commands that can be in

Page 5

3–28 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Configuration RegistersIn master mode, the pci_mt64 and pci_mt32 functions can

Page 6 - Chapter 2. Parameter Settings

Altera Corporation User Guide Version 11.1 3–29October 2011Functional DescriptionTable 3–12 shows the defined 64-byte configuration space. The regist

Page 7 - Chapter 4. Testbench

3–30 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Configuration Registersdevice ID register value on the Read-Only PCI Configura

Page 8 - Chapter 6. Parameter Settings

Altera Corporation User Guide Version 11.1 3–31October 2011Functional DescriptionVendor ID RegisterVendor ID is a 16-bit read-only register that iden

Page 9

3–32 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Configuration RegistersCommand RegisterCommand is a 16-bit read/write register

Page 10 - Chapter 8. Testbench

Altera Corporation User Guide Version 11.1 3–33October 2011Functional DescriptionStatus RegisterStatus is a 16-bit register that provides the status

Page 11 - Additional Information

3–34 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Configuration RegistersRevision ID RegisterRevision ID is an 8-bit read-only r

Page 12 - Contents

Altera Corporation User Guide Version 11.1 3–35October 2011Functional DescriptionClass Code RegisterClass code is a 24-bit read-only register divided

Page 13 - About PCI Compiler

Altera Corporation User Guide Version 11.1 xiPCI CompilerContents-speed ...

Page 14 - Device Family

3–36 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Configuration RegistersLatency Timer RegisterThe latency timer register is an

Page 15 - Features

Altera Corporation User Guide Version 11.1 3–37October 2011Functional DescriptionBase Address RegistersThe PCI function supports up to six BARs. Each

Page 16

3–38 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Configuration RegistersTable 3–23 shows the format of memory BARs.In addition

Page 17 - Description

Altera Corporation User Guide Version 11.1 3–39October 2011Functional DescriptionFor example, if a 64-bit BAR on BARs 1 and 0 is implemented and the

Page 18 - PCI Testbench

3–40 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Configuration RegistersCardBus CIS Pointer RegisterThe card information struct

Page 19

Altera Corporation User Guide Version 11.1 3–41October 2011Functional DescriptionSubsystem ID RegisterThe subsystem ID register identifies the subsys

Page 20 - General Description

3–42 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Configuration RegistersThe PCI MegaCore functions allow you to set a default e

Page 21 - Flow for Your

Altera Corporation User Guide Version 11.1 3–43October 2011Functional Descriptionformat of the Interrupt Line Register.1 The interrupt pin can be ena

Page 22 - Compliance

3–44 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationMaximum Latency RegisterThe maximum latency register is a

Page 23 - Utilization

Altera Corporation User Guide Version 11.1 3–45October 2011Functional DescriptiontrdynvvvvstopnvvvvperrnvvvvserrnvvvvintanvvvvLocal-Side Datapath Sig

Page 24

xii User Guide Version 11.1 Altera CorporationPCI CompilerContents

Page 25

3–46 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationThe pci_mt64 and pci_t64 MegaCore functions support the f

Page 26

Altera Corporation User Guide Version 11.1 3–47October 2011Functional Descriptionack64n signals in the pci_mt64 and pci_t64 functions are asserted th

Page 27

3–48 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationTarget Read TransactionsThis section describes the behavi

Page 28

Altera Corporation User Guide Version 11.1 3–49October 2011Functional Description4. The PCI MegaCore function drives and asserts devseln (and ack64n

Page 29 - Licensing

3–50 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationSingle-cycle Memory Read Tar get Trans acti onsFigure 3–7

Page 30 - <path>

Altera Corporation User Guide Version 11.1 3–51October 2011Functional DescriptionTable 3–35 shows the sequence of events for a 64-bit single-cycle me

Page 31 - OpenCore Plus Evaluation

3–52 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode Operation1 The local-side design must ensure that PCI latency rule

Page 32 - Installation and Licensing

Altera Corporation User Guide Version 11.1 3–53October 2011Functional DescriptionBurst Memory Read Target TransactionsThe sequence of events for a bu

Page 33 - Manager Flow

3–54 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–8. Zero-Wait State Burst Memory Read Target Tran

Page 34

Altera Corporation User Guide Version 11.1 3–55October 2011Functional DescriptionFigure 3–9 shows the same transaction as in Figure 3–8 with the PCI

Page 35 - 1. Getting Started

Altera Corporation User Guide Version 11.1 1October 2011About PCI CompilerIntroductionThe Altera® PCI Compiler provides many options for creating cu

Page 36 - Function Design

3–56 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–10 shows the same transaction as shown in Figure

Page 37

Altera Corporation User Guide Version 11.1 3–57October 2011Functional DescriptionMismatched Bus Width Memory Read Target TransactionsThe following de

Page 38 - Launch IP Toolbench

3–58 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–11 shows that the local side transfers a full QW

Page 39 - Step 1: Parameterize

Altera Corporation User Guide Version 11.1 3–59October 2011Functional DescriptionFigure 3–12 shows a 32-bit PCI side and 64-bit local side burst memo

Page 40

3–60 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–12. 32-Bit PCI and 64-Bit Local-Side Burst Memor

Page 41 - Step 3: Generate

Altera Corporation User Guide Version 11.1 3–61October 2011Functional DescriptionI/O Read TransactionsI/O read transactions by definition are 32 bits

Page 42

3–62 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationConfiguration Read TransactionsConfiguration read transac

Page 43

Altera Corporation User Guide Version 11.1 3–63October 2011Functional DescriptionTarget Write TransactionsThis section describes the behavior of the

Page 44

3–64 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationSingle-cycle Memory Write Targ e t Tr a nsactio n sFigur

Page 45

Altera Corporation User Guide Version 11.1 3–65October 2011Functional DescriptionTable 3–36 shows the sequence of events for a 64-bit single-cycle me

Page 46 - Simulation Files

2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Release InformationRelease InformationTable 1 provides information about this rel

Page 47 - Master Simulation Files

3–66 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode Operation7The rising edge of clock cycle 7 registers the valid dat

Page 48

Altera Corporation User Guide Version 11.1 3–67October 2011Functional DescriptionBurst Memory Write Target TransactionsThe sequence of events in a bu

Page 49 - Target Simulation Files

3–68 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–16. Zero-Wait State Burst Memory Write Target Tr

Page 50

Altera Corporation User Guide Version 11.1 3–69October 2011Functional DescriptionFigure 3–17 shows the same transaction as in Figure 3–16 with the PC

Page 51

3–70 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–18 shows the same transaction as in Figure 3–16

Page 52 - PCI Timing

Altera Corporation User Guide Version 11.1 3–71October 2011Functional DescriptionMismatched Bus-Width Memory Write Target TransactionsThe following d

Page 53 - Designs

3–72 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationIn Figure 3–19, the local-side transfer occurs in clock c

Page 54

Altera Corporation User Guide Version 11.1 3–73October 2011Functional DescriptionFigure 3–20 shows a 32-bit burst memory write transaction; the event

Page 55

3–74 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–20. 32-Bit PCI & 64-Bit Local-Side Burst Mem

Page 56

Altera Corporation User Guide Version 11.1 3–75October 2011Functional DescriptionI/O Write TransactionsI/O write transactions by definition are 32 bi

Page 57

Altera Corporation User Guide Version 11.1 3October 2011 About PCI CompilerTable 2 shows the level of support offered by the User Guide MegaCore func

Page 58 - Using the Reference Designs

3–76 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationConfiguration Write TransactionsConfiguration write trans

Page 59 - 2. Parameter Settings

Altera Corporation User Guide Version 11.1 3–77October 2011Functional DescriptionTarget Transaction TerminationsFor all transactions except configura

Page 60

3–78 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–23. Target RetryNote to Figure 3–23:(1) This sig

Page 61 - Function

Altera Corporation User Guide Version 11.1 3–79October 2011Functional DescriptionDisconnectA PCI target can signal a disconnect by asserting stopn an

Page 62 - Master Features

3–80 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–24 shows an example of a disconnect during a bur

Page 63

Altera Corporation User Guide Version 11.1 3–81October 2011Functional DescriptionFigure 3–25 shows an example of a disconnect during a burst target w

Page 64

3–82 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–26 shows an example of a disconnect during a bur

Page 65 - Parameters

Altera Corporation User Guide Version 11.1 3–83October 2011Functional DescriptionFigure 3–27 shows an example of a disconnect during a burst target r

Page 66

3–84 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationFigure 3–28 shows an example of a disconnect during a 32-

Page 67 - HARDWIRE_EXP_ROM

Altera Corporation User Guide Version 11.1 3–85October 2011Functional DescriptionFigure 3–27 shows an example of a disconnect during a 32-bit read on

Page 68 - ENABLE_BITS

4 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Features IP functional simulation models enable simulation of a register transfe

Page 69 - EXP_ROM_ENA

3–86 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Target Mode OperationTarget AbortTarget abort refers to an abnormal terminatio

Page 70 - INTERNAL_ARBITER_ENA (1)

Altera Corporation User Guide Version 11.1 3–87October 2011Functional DescriptionFigure 3–30. Target AbortNote to Figure 3–30:(1) This signal is not

Page 71

3–88 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationAdditional Design Guidelines for Target TransactionsAlter

Page 72

Altera Corporation User Guide Version 11.1 3–89October 2011Functional DescriptionPCI MegaCore function. Table 3–37. PCI MegaCore Function Signals (P

Page 73 - MW_CBEN_ENA

3–90 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationThe PCI MegaCore functions support both 64-bit and 32-bit

Page 74 - Variation File Parameters

Altera Corporation User Guide Version 11.1 3–91October 2011Functional DescriptionThe pci_mt64 and pci_mt32 functions support the following 32-bit PCI

Page 75 - 3. Functional Description

3–92 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationThe pci_mt64 and pci_mt32 functions can generate transact

Page 76

Altera Corporation User Guide Version 11.1 3–93October 2011Functional DescriptionMaster Read TransactionsThis section describes the behavior of the P

Page 77

3–94 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode Operation4. A turn-around cycle on the ad bus occurs during the cl

Page 78

Altera Corporation User Guide Version 11.1 3–95October 2011Functional DescriptionBurst Memory Read Master TransactionsFigure 3–31 shows the waveform

Page 79

Altera Corporation User Guide Version 11.1 5October 2011 About PCI Compiler Hard-coded (fixed) or run-time configurable (dynamic) Avalon-to-PCI addr

Page 80

3–96 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationTable 3–38 shows the sequence of events for a 64-bit zero

Page 81

Altera Corporation User Guide Version 11.1 3–97October 2011Functional Description7The function asserts irdyn to inform the target that the function i

Page 82

3–98 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode Operation10Because lm_lastn was asserted and a data phase was comp

Page 83

Altera Corporation User Guide Version 11.1 3–99October 2011Functional DescriptionFigure 3–32 shows the same transaction as in Figure 3–31, but the lo

Page 84

3–100 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationFigure 3–33 shows the same transaction as in Figure 3–31

Page 85 - PCI Bus Signals

Altera Corporation User Guide Version 11.1 3–101October 2011Functional DescriptionThe local side deasserts lm_rdyn in clock cycle 9. Consequently, on

Page 86

3–102 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationFigure 3–34. Burst Memory Read Master Transaction with P

Page 87

Altera Corporation User Guide Version 11.1 3–103October 2011Functional DescriptionSingle-Cycle Memory Read Master TransactionFigure 3–35 shows a 64-b

Page 88

3–104 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationFigure 3–35. 64-Bit Single-Cycle Memory Read Master Tran

Page 89

Altera Corporation User Guide Version 11.1 3–105October 2011Functional DescriptionFigure 3–36 shows a 32-bit single cycle memory read master transact

Page 90

6 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011General DescriptionTo ensure timing and protocol compliance, the PCI MegaCore fun

Page 91

3–106 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationMismatched Bus Width Burst Memory Read Master Transactio

Page 92

Altera Corporation User Guide Version 11.1 3–107October 2011Functional DescriptionFigure 3–37. 32-Bit PCI & 64-Bit Local Side Burst Memory Read M

Page 93 - "). The signals lm_ackn

3–108 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationMaster Write TransactionsThis section describes the beha

Page 94 - Target Local-Side Signals

Altera Corporation User Guide Version 11.1 3–109October 2011Functional Description3. The PCI function begins the PCI address phase. During the PCI ad

Page 95

3–110 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationBurst Memory Write Master TransactionsFigure 3–38 shows

Page 96

Altera Corporation User Guide Version 11.1 3–111October 2011Functional DescriptionTable 3–39 shows the sequence of events for a 64-bit zero-wait stat

Page 97

3–112 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode Operation6 The PCI MegaCore function begins the 64-bit memory wri

Page 98 - Master Local-Side Signals

Altera Corporation User Guide Version 11.1 3–113October 2011Functional Description9Because irdyn and trdyn are asserted, the second 64-bit data word

Page 99

3–114 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationFigure 3–39 shows the same transaction as in Figure 3–42

Page 100

Altera Corporation User Guide Version 11.1 3–115October 2011Functional DescriptionFigure 3–40 shows the same transaction as in Figure 3–38 but with t

Page 101 - Commands

Altera Corporation User Guide Version 11.1 7October 2011 About PCI CompilerFigure 1 shows a PCI-to-DDR2 SDRAM controller interface design using the P

Page 102 - Registers

3–116 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationFigure 3–41 shows the same transaction as in Figure 3–38

Page 103

Altera Corporation User Guide Version 11.1 3–117October 2011Functional DescriptionFigure 3–41. Burst Memory Write Master Transaction with PCI Wait St

Page 104

3–118 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationMegaCore Function Features page of the Parameterize - PC

Page 105 - Device ID Register

Altera Corporation User Guide Version 11.1 3–119October 2011Functional DescriptionFigure 3–42. Burst Memory Write Master Transaction with Variable By

Page 106 - Command Register

3–120 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationFigure 3–43. 32-Bit PCI & 32-Bit Local-Side Single-C

Page 107 - Status Register

Altera Corporation User Guide Version 11.1 3–121October 2011Functional Description64-Bit Single Cycle Memory Write Master TransactionsThis section is

Page 108 - Revision ID Register

3–122 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationFigure 3–44. PCI 64-Bit Single-Cycle Master Memory Write

Page 109 - Cache Line Size Register

Altera Corporation User Guide Version 11.1 3–123October 2011Functional DescriptionMismatched Bus Width Burst Memory Write Master TransactionsThis sec

Page 110 - Header Type Register

3–124 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationFigure 3–45. 32-Bit PCI & 64-Bit Local-Side Master B

Page 111 - Base Address Registers

Altera Corporation User Guide Version 11.1 3–125October 2011Functional DescriptionAbnormal Master Transaction TerminationAn abnormal transaction term

Page 112

i–ii User Guide Version 11.1 Altera CorporationPCI Compiler

Page 113 - Reserved

8 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011General DescriptionFor example, Figure 2 shows the PCI-to-DDR2 SDRAM design using

Page 114 - Subsystem Vendor ID Register

3–126 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Master Mode OperationDisconnect Without DataThe target device issues a discon

Page 115 - Subsystem ID Register

Altera Corporation User Guide Version 11.1 3–127October 2011Functional DescriptionHost Bridge OperationThis section describes using the pci_mt64 and

Page 116 - Interrupt Line Register

3–128 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Host Bridge OperationFigure 3–46. Configuration Read from Internal Configura

Page 117 - Minimum Grant Register

Altera Corporation User Guide Version 11.1 3–129October 2011Functional DescriptionPCI Configuration Write Transaction from the pci_mt64 Local Master

Page 118 - Operation

3–130 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Host Bridge OperationFigure 3–47. Configuration Write to Internal Configurati

Page 119 - Functional Description

Altera Corporation User Guide Version 11.1 3–131October 2011Functional Description64-Bit Addressing, Dual Address Cycle (DAC)This section describes a

Page 120

3–132 User Guide Version 11.1 Altera CorporationPCI Compiler October 201164-Bit Addressing, Dual Address Cycle (DAC)64-Bit Address, 64-Bit Data Single

Page 121

Altera Corporation User Guide Version 11.1 3–133October 2011Functional DescriptionFigure 3–48. 64-Bit Address, 64-Bit Data Single-Cycle Target Read T

Page 122 - Target Read Transactions

3–134 User Guide Version 11.1 Altera CorporationPCI Compiler October 201164-Bit Addressing, Dual Address Cycle (DAC)Master Mode OperationA master oper

Page 123

Altera Corporation User Guide Version 11.1 3–135October 2011Functional DescriptionFigure 3–49. 64-Bit Address, 64-Bit Data Master Burst Memory Read T

Page 124 - Note Figure 3–7:

Altera Corporation User Guide Version 11.1 9October 2011 About PCI CompilerSelecting the Appropriate Flow for Your DesignTable 3 summarizes the guide

Page 125 - Clock Cycle Event

3–136 User Guide Version 11.1 Altera CorporationPCI Compiler October 201164-Bit Addressing, Dual Address Cycle (DAC)

Page 126

Altera Corporation User Guide Version 11.1 4–1October 20114. TestbenchGeneral DescriptionThe Altera PCI testbench facilitates the design and verifica

Page 127

4–2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011FeaturesFeaturesThe PCI testbench includes the following features: Easy to use

Page 128 - Note to Figure 3–8:

Altera Corporation User Guide Version 11.1 4–3October 2011 PCI CompilerTestbenchTable 4–1 gives a description of the PCI testbench source files provi

Page 129 - Note to Figure 3–9:

4–4 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Testbench FilesTable 4–2 describes the reference design files provided in t

Page 130 - Note to Figure 3–10:

Altera Corporation User Guide Version 11.1 4–5October 2011 PCI CompilerTestbenchRefer to “Simulation Flow” on page 4–20 for more information on the m

Page 131

4–6 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench SpecificationsTestbench SpecificationsThis section describes the modu

Page 132

Altera Corporation User Guide Version 11.1 4–7October 2011 PCI CompilerTestbenchTable 4–5 shows the testbench's target termination support. The

Page 133

4–8 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench SpecificationsThe bus monitor informs the master transactor of a succ

Page 134 - Note to Figure 3–12:

Altera Corporation User Guide Version 11.1 4–9October 2011 PCI CompilerTestbenchcfg_rdThe cfg_rd command performs single-cycle PCI configuration read

Page 135 - I/O Read Transactions

10 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Compliance SummaryPCI Compiler With MegaWizard Plug-in Manager Flow This section

Page 136

4–10 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench Specifications The mem_wr_32 command performs a burst-cycle 32-bit

Page 137 - Target Write Transactions

Altera Corporation User Guide Version 11.1 4–11October 2011 PCI CompilerTestbenchmem_wr_64The mem_wr_64 command performs a memory write of the data t

Page 138 - Note to Figure 3–15:

4–12 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench Specificationsio_wrThe io_wr command performs a single-cycle memory

Page 139

Altera Corporation User Guide Version 11.1 4–13October 2011 PCI CompilerTestbenchany address that is within the BAR1 range results in an io_hit actio

Page 140

4–14 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench Specificationsin the PCI transactions as required by your applicatio

Page 141

Altera Corporation User Guide Version 11.1 4–15October 2011 PCI CompilerTestbenchArbiter (arbiter)This module simulates the PCI bus arbiter. The modu

Page 142 - Note to Figure 3–16:

4–16 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Local Reference DesignFigure 4–3. Local Reference DesignNote to Figure 4–3:(1)

Page 143 - Note to Figure 3–17:

Altera Corporation User Guide Version 11.1 4–17October 2011 PCI CompilerTestbenchLocal TargetThe local target consists of a simple state machine that

Page 144 - Note to Figure 3–18:

4–18 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Local Reference DesignThe dma_bc_la register location includes the following 3

Page 145

Altera Corporation User Guide Version 11.1 4–19October 2011 PCI CompilerTestbenchLocal MasterThe DMA engine triggers the local master. The local mast

Page 146 - Note to Figure 3–19:

Altera Corporation User Guide Version 11.1 11October 2011 About PCI Compilerhost bridge, Ethernet network adapter, and video card. The Altera PCI Meg

Page 147

4–20 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Simulation FlowSimulation FlowThis section describes the simulation flow using

Page 148 - Note to Figure 3–20:

Altera Corporation User Guide Version 11.1 4–21October 2011 PCI CompilerTestbench4. Modify the target transactor model memory range. The target trans

Page 149 - I/O Write Transactions

4–22 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Simulation Flow

Page 150

Altera Corporation Section II–1October 2011 Section II. PCI CompilerWith SOPC Builder FlowThe PCI Compiler with SOPC Builder flow option allows yo

Page 151

Section II–2 User Guide 11.1 Altera CorporationPCI Compiler October 2011PCI Compiler With SOPC Builder Flow

Page 152 - Note to Figure 3–23:

Altera Corporation User Guide Version 11.1 5–1October 20115. Getting StartedDesign FlowTo create a PCI system that uses the PCI Compiler with SOPC B

Page 153 - Disconnect

5–2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Compiler with SOPC Builder Flow Design WalkthroughAfter you have purchased

Page 154 - Note to Figure 3–24:

Altera Corporation User Guide Version 11.1 5–3October 2011 PCI CompilerGetting StartedFigure 5–1. System Generated Using SOPC Builder1 This walkthrou

Page 155 - Note to Figure 3–25:

5–4 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Compiler with SOPC Builder Flow Design Walkthrough4. In the New Project Wiz

Page 156 - Note to Figure 3–26:

Altera Corporation User Guide Version 11.1 5–5October 2011 PCI CompilerGetting Startedc. Under Show in ‘Available device’ list, all fields should hav

Page 157 - Note to Figure 3–27:

12 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Performance and Resource UtilizationMegaCore function. Using different parameter

Page 158

5–6 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Compiler with SOPC Builder Flow Design Walkthrough6. Click Next to display

Page 159

Altera Corporation User Guide Version 11.1 5–7October 2011 PCI CompilerGetting StartedAdd the Remaining Components to the SOPC Builder SystemYou will

Page 160 - Target Abort

5–8 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Compiler with SOPC Builder Flow Design WalkthroughComplete the Connections

Page 161 - Note to Figure 3–30:

Altera Corporation User Guide Version 11.1 5–9October 2011 PCI CompilerGetting Started4. From the System menu, select Auto-Assign Base Addresses.SOPC

Page 162 - Master Mode

5–10 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Compiler with SOPC Builder Flow Design WalkthroughFiles Generated by SOPC

Page 163

Altera Corporation User Guide Version 11.1 5–11October 2011 PCI CompilerGetting StartedSimulate the DesignSOPC Builder automatically sets up the simu

Page 164 - Master Mode Operation

5–12 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Compiler with SOPC Builder Flow Design WalkthroughYou can also copy the ms

Page 165

Altera Corporation User Guide Version 11.1 5–13October 2011 PCI CompilerGetting Started Configuration read operations on command registers BAR0 and

Page 166 - PCI Bus Parking

5–14 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Program a Device1 You must use the -pin_suffix option for the PCI constraints

Page 167 - Master Read Transactions

Altera Corporation User Guide Version 11.1 5–15October 2011 PCI CompilerGetting StartedUpgrading Systems from a Previous VersionFollow the steps belo

Page 168

Altera Corporation User Guide Version 11.1 13October 2011 About PCI CompilerTable 6 shows PCI MegaCore function resource utilization and performance

Page 169 - Notes to Figure 3–31:

5–16 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Program a Device

Page 170

Altera Corporation User Guide Version 11.1 6–1October 20116. Parameter SettingsThis chapter describes the parameters available to configure PCI Compi

Page 171

6–2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011System Options-1Selecting the PCI Master/Target Peripheral mode results in the

Page 172

Altera Corporation User Guide Version 11.1 6–3October 2011Parameter SettingsPCI Target Performance This field lists the three available PCI target pe

Page 173 - Note to Figure 3–32:

6–4 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011System Options-1In fact, if the PCI-Avalon bridge has no prefetchable BARs, it

Page 174 - Notes to Figure 3–33:

Altera Corporation User Guide Version 11.1 6–5October 2011Parameter SettingsPCI Master Performance This field lists the two available PCI master perf

Page 175

6–6 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Value of Multiple Pending ReadsAlthough up to four pending reads can be issued

Page 176 - Notes to Figure 3–34:

Altera Corporation User Guide Version 11.1 6–7October 2011Parameter SettingsFigure 6–1. PCI-Avalon Bridge Burst Transfer with Multiple Pending ReadsI

Page 177

6–8 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Value of Multiple Pending Reads6. At some point the data for R1 is returned by

Page 178 - Notes to Figure 3–35:

Altera Corporation User Guide Version 11.1 6–9October 2011Parameter SettingsSystem Options-2 The System Options - 2 tab in the PCI Compiler wizard de

Page 179 - Note to Figure 3–36:

14 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Performance and Resource UtilizationTable 8 lists memory utilization and perform

Page 180

6–10 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011System Options-2The Shared PCI and Avalon Clocks option allows the PCI bus and

Page 181

Altera Corporation User Guide Version 11.1 6–11October 2011Parameter Settings1 To implement a Host bridge device with no other PCI master-capable dev

Page 182 - Master Write Transactions

6–12 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Configurationif the address matches one of the BARs. The PCI-Avalon bridge

Page 183

Altera Corporation User Guide Version 11.1 6–13October 2011Parameter Settingsin this BAR type to 2 GBytes. In other words, this BAR type allows your

Page 184 - Notes to Figure 3–38:

6–14 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI ConfigurationHardwired PCI Address—The hardwired PCI address setting allow

Page 185

Altera Corporation User Guide Version 11.1 6–15October 2011Parameter SettingsThe PCI-Avalon address translation circuit requires that you supply the

Page 186

6–16 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Avalon ConfigurationIn some cases, you should try to adjust the Base Address o

Page 187

Altera Corporation User Guide Version 11.1 6–17October 2011Parameter Settings 16 if hardwired or 512 if dynamically configured 2 GBytes divided by

Page 188 - Note to Figure 3–39:

6–18 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Avalon Configuration

Page 189 - Notes to Figure 3–40:

Altera Corporation User Guide Version 11.1 7–1October 20117. Functional DescriptionThis chapter provides specification details for the PCI Compiler w

Page 190

Altera Corporation User Guide Version 11.1 15October 2011 About PCI CompilerTable 9 lists memory utilization and performance data for Cyclone II devi

Page 191 - Notes to Figure 3–41:

7–2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewThis section discusses: PCI-Avalon bridge module blocks PC

Page 192

Altera Corporation User Guide Version 11.1 7–3October 2011Functional DescriptionFigure 7–1. Generic PCI-Avalon Bridge Block DiagramAvalon-MM PortsThe

Page 193 - Notes to Figure 3–42:

7–4 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewThis port is optimized for high bandwidth transfers as a PCI

Page 194 - Note to Figure 3–43:

Altera Corporation User Guide Version 11.1 7–5October 2011Functional Descriptionbridge’s master ports must be connected to this port. There is no int

Page 195

7–6 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewPCI Bus ArbiterThe PCI-Avalon bridge has an optional, integr

Page 196

Altera Corporation User Guide Version 11.1 7–7October 2011Functional DescriptionFigure 7–2. PCI-Avalon Bridge Managing the PCI Target-Only Peripheral

Page 197

7–8 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewFigure 7–3. PCI-Avalon Bridge Managing the PCI Target-Only P

Page 198

Altera Corporation User Guide Version 11.1 7–9October 2011Functional DescriptionFigure 7–4. PCI-Avalon Bridge Block Diagram Managing the PCI Master/T

Page 199 - Latency Timer Expires

7–10 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewFigure 7–5. PCI-Avalon Bridge Managing the PCI Master/Targe

Page 200 - Master Abort

Altera Corporation User Guide Version 11.1 7–11October 2011Functional DescriptionFigure 7–6. PCI-Avalon Bridge Block Diagram Managing the PCI Host-Br

Page 201 - Host Bridge

16 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Performance and Resource UtilizationTable 11 lists memory utilization and perfor

Page 202 - Note to Figure 3–46:

7–12 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewWithin each of the PCI operating modes, the targeted Altera

Page 203

Altera Corporation User Guide Version 11.1 7–13October 2011Functional DescriptionBurst Transfers With Single Pending Read This profile provides high

Page 204 - Note to Figure 3–47:

7–14 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Interface Signalsrequirements. If you use the PCI constraint files as recommen

Page 205 - Cycle (DAC)

Altera Corporation User Guide Version 11.1 7–15October 2011Functional DescriptionPCI Bus Commands Table 7–3 shows the PCI bus commands support for PC

Page 206

7–16 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Target OperationPCI configuration read and write operations are automatica

Page 207

Altera Corporation User Guide Version 11.1 7–17October 2011Functional Description Read requests will always be initially retried and completed as de

Page 208

7–18 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Target OperationTo ensure the lowest possible latency, the PCI-Avalon brid

Page 209

Altera Corporation User Guide Version 11.1 7–19October 2011Functional DescriptionI/O Write OperationsThe non-prefetchable bridge data path handles th

Page 210

7–20 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Target OperationA PCI read operation handled by the non-prefetchable bridg

Page 211 - 4. Testbench

Altera Corporation User Guide Version 11.1 7–21October 2011Functional DescriptionTable 7–5 shows all of the termination conditions that are possible

Page 212

Altera Corporation User Guide Version 11.1 17October 2011 About PCI CompilerInstallation and LicensingThe User Guide is part of the MegaCore IP Libra

Page 213 - File(1) Description

7–22 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Target OperationThese features result in higher bandwidth, but introduce h

Page 214

Altera Corporation User Guide Version 11.1 7–23October 2011Functional DescriptionPrefetchable Read OperationsAll prefetchable PCI read requests that

Page 215

7–24 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Target OperationThe read requests are passed through the PCI-to-Avalon com

Page 216 - Specifications

Altera Corporation User Guide Version 11.1 7–25October 2011Functional Description The PCI memory read command initiates burst transaction to the Ava

Page 217 - PROCEDURES and TASKS Sections

7–26 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Target OperationTable 7–8 lists the reasons for which a burst transfer can

Page 218 - USER COMMANDS Section

Altera Corporation User Guide Version 11.1 7–27October 2011Functional DescriptionFigure 7–8. PCI-to-Avalon Address TranslationPCI Master Operation Th

Page 219

7–28 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Master OperationThe PCI-Avalon bridge uses the burst count to select the b

Page 220

Altera Corporation User Guide Version 11.1 7–29October 2011Functional Description1 Avalon-MM burst read requests are treated as if they are going to

Page 221

7–30 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Master OperationFigure 7–9 shows the basic data paths and control structur

Page 222

Altera Corporation User Guide Version 11.1 7–31October 2011Functional DescriptionFigure 7–9. Avalon-to-PCI Block DiagramAvalon-to-PCI Write RequestsF

Page 223 - PROCEDURES and TASKS sections

Copyright © 2011 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo,specific device designa

Page 224 - Clock Generator (clk_gen)

18 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Installation and LicensingFigure 3. Directory StructureqexamplesContains example

Page 225 - Local Reference

7–32 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Master OperationThe PCI-Avalon bridge will not combine multiple Avalon-MM

Page 226 - Description

Altera Corporation User Guide Version 11.1 7–33October 2011Functional DescriptionSingle-cycle, 64-bit Avalon-to-PCI read requests that have only the

Page 227 - DMA Engine

7–34 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Master OperationArbitration Among Pending PCI Master RequestsThe transacti

Page 228

Altera Corporation User Guide Version 11.1 7–35October 2011Functional Description1 The head-of-line read command in the pending read queue is the one

Page 229 - LPM RAM

7–36 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Master OperationFigure 7–10. Avalon-to-PCI Address TranslationAddress Tran

Page 230 - Simulation Flow

Altera Corporation User Guide Version 11.1 7–37October 2011Functional Descriptionwhether the resulting PCI address is a 32- or 64-bit address. Table

Page 231 - October 2011 PCI Compiler

7–38 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Master OperationThe Avalon-to-PCI address translation table has two config

Page 232

Altera Corporation User Guide Version 11.1 7–39October 2011Functional Description● PCI target, configuration writes are the only requests accepted, w

Page 233 - With SOPC Builder Flow

7–40 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Master OperationFigure 7–11. Ordering Logic for Avalon-to-PCI DirectionA2P

Page 234

Altera Corporation User Guide Version 11.1 7–41October 2011Functional DescriptionTable 7–14 specifies the ordering rules and behavior of the PCI-Aval

Page 235 - 5. Getting Started

Altera Corporation User Guide Version 11.1 19October 2011 About PCI CompilerOpenCore Plus EvaluationWith Altera’s free OpenCore Plus evaluation featu

Page 236 - Walkthrough

7–42 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Master OperationOrdering PCI-to-Avalon OperationsFor requests that hit a p

Page 237

Altera Corporation User Guide Version 11.1 7–43October 2011Functional DescriptionFigure 7–12. Ordering Logic for PCI-to-Avalon DirectionP2A Prefetcha

Page 238

7–44 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Master OperationTable 7–15 specifies the ordering rules and behavior for t

Page 239 - Set Up the PCI-Avalon Bridge

Altera Corporation User Guide Version 11.1 7–45October 2011Functional DescriptionPCI Host-Bridge Operation You can use the PCI Host-Bridge Device ope

Page 240

7–46 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011InterruptsWhen no ArbReq_n_i lines are asserted, ArbGnt_n_o[0] will be asserte

Page 241 - Getting Started

Altera Corporation User Guide Version 11.1 7–47October 2011Functional DescriptionThe Avalon-MM interrupt status register contains two bits that indic

Page 242

7–48 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Control & Status RegistersBecause all accesses come from Avalon-MM (reques

Page 243

Altera Corporation User Guide Version 11.1 7–49October 2011Functional DescriptionTable 7–17 shows the complete map of registers.The following section

Page 244

7–50 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Control & Status RegistersTable 7–18 describes the PCI interrupt status re

Page 245 - Simulate the

Altera Corporation User Guide Version 11.1 7–51October 2011Functional DescriptionPCI Interrupt Enable RegisterBy setting the corresponding bits in th

Page 246

20 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Installation and Licensing

Page 247 - Compile the

7–52 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Control & Status RegistersAvalon-MM interrupts can also be enabled for all

Page 248 - Program a

Altera Corporation User Guide Version 11.1 7–53October 2011Functional DescriptionThe Avalon-to-PCI mailbox registers are readable at the addresses sh

Page 249 - Previous

7–54 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Control & Status RegistersThe lower order address bits that are treated as

Page 250 - Program a Device

Altera Corporation User Guide Version 11.1 7–55October 2011Functional DescriptionTable 7–23 lists some basic configuration parameters of the bridge.T

Page 251 - 6. Parameter Settings

7–56 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Control & Status RegistersTable 7–24 lists some key performance sizing inf

Page 252 - System Options-1

Altera Corporation User Guide Version 11.1 7–57October 2011Functional DescriptionTable 7–26 describes the Avalon-MM interrupt status register bits.Ta

Page 253 - PCI Target Performance

7–58 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Control & Status Registers7INTAN_RISERW1CThis bit is set to 1 when the PCI

Page 254

Altera Corporation User Guide Version 11.1 7–59October 2011Functional DescriptionTable 7–27 describes the current PCI status register. This register

Page 255 - PCI Master Performance

7–60 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Control & Status RegistersAvalon-MM Interrupt Enable RegisterAn Avalon-MM

Page 256 - Pending Reads

Altera Corporation User Guide Version 11.1 7–61October 2011Functional DescriptionThe Avalon-to-PCI mailbox registers are writable at the addresses sh

Page 257 - Interconnect

Altera Corporation Section I–1October 2011 Section I. PCI CompilerWith MegaWizard Plug-InManager FlowThe Altera PCI Compiler provides a complete s

Page 258

7–62 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Control & Status Registers

Page 259 - Options-2

Altera Corporation User Guide Version 11.1 8–1October 20118. TestbenchGeneral DescriptionThe Altera PCI testbench facilitates the design and verifica

Page 260 - PCI Bus Arbiter

8–2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011FeaturesFigure 8–1. Altera PCI Testbench Block DiagramTo use the PCI testbench,

Page 261

Altera Corporation User Guide Version 11.1 8–3October 2011 PCI CompilerTestbenchPCI Testbench FilesThe Altera PCI testbench is included and installed

Page 262

8–4 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench SpecificationsRefer to “Simulation Flow” on page 8–15 for more inform

Page 263 - Parameter Settings

Altera Corporation User Guide Version 11.1 8–5October 2011 PCI CompilerTestbenchTable 8–3 shows the testbench's target termination support. The

Page 264 - PCI Configuration

8–6 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench SpecificationsThe master transactor terminates the PCI transactions i

Page 265 - X X X X X . . . X

Altera Corporation User Guide Version 11.1 8–7October 2011 PCI CompilerTestbenchUSER COMMANDS SectionThe master transactor USER COMMANDS section cont

Page 266

8–8 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench Specificationscfg_wrThe cfg_wr command performs single-cycle PCI conf

Page 267

Altera Corporation User Guide Version 11.1 8–9October 2011 PCI CompilerTestbenchmem_rd_32The mem_rd_32 command performs a memory read with the addres

Page 268 - Avalon Configuration

Section I–2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Compiler With MegaWizard Plug-In Manager Flow

Page 269 - 7. Functional Description

8–10 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench Specificationsmem_wr_64The mem_wr_64 command performs a memory write

Page 270 - PCI-Avalon Bridge Blocks

Altera Corporation User Guide Version 11.1 8–11October 2011 PCI CompilerTestbenchmem_rd_64The mem_rd_64 command performs memory read transactions wit

Page 271 - Avalon-MM Ports

8–12 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench SpecificationsTarget Transactor (trgt_tranx)The testbench target tra

Page 272

Altera Corporation User Guide Version 11.1 8–13October 2011 PCI CompilerTestbenchTo model different target terminations, use the following three inpu

Page 273 - PCI MegaCore Function

8–14 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Testbench Specifications Target retry Target abort Target terminated with d

Page 274 - PCI Operational Modes

Altera Corporation User Guide Version 11.1 8–15October 2011 PCI CompilerTestbenchSimulation FlowThis section describes the simulation flow using Alte

Page 275

8–16 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Simulation FlowRefer to Figure 8–1 for a block diagram of the Master Transacto

Page 276 - Functional Overview

Altera Corporation User Guide Version 11.1 A–1October 2011Appendix A. Using PCIConstraint File Tcl ScriptsIntroductionAltera provides constraint file

Page 277 - ■ PCI Host-Bridge Device mode

A–2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Simultaneous Switching Noise (SSN) Considerations4. Source the constraint file

Page 278

Altera Corporation User Guide Version 11.1 A–3October 2011 PCI Compilerf For more recommendations on reducing SSN in your design, refer to AN 315: Gu

Page 279 - Performance Profiles

Altera Corporation User Guide Version 11.1 1–1October 20111. Getting StartedDesign FlowTo evaluate a PCI Compiler MegaCore function using the OpenCor

Page 280 - Master Performance

A–4 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Additional OptionsEP2AGZ225 — -3, -4 66 MHzEP2AGZ300 -3 -3, -4 66 MHzEP2AGZ350F

Page 281 - Interface

Altera Corporation User Guide Version 11.1 A–5October 2011 PCI CompilerEP4CGX30BF14EP4CGX30CF19EP4CGX30CF23-6 -6, -7, -8 66 MHzEP4CGX50CF23EP4CGX50DF

Page 282 - PCI Bus Arbiter Signals

A–6 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Additional OptionsFor constraint files that have a default value of 66 MHz, you

Page 283 - PCI Target

Altera Corporation User Guide Version 11.1 A–7October 2011 PCI Compiler-no_compileBy default, the add_pci_constraints command performs analysis and s

Page 284

A–8 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Upgrading Assignments from a Previous Version of PCI CompilerMegaCore functions

Page 285 - Non-Prefetchable Operations

Altera Corporation User Guide Version 11.1 A–9October 2011 PCI Compiler Manually delete all the existing PCI assignments from your QSF, then use the

Page 286

A–10 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Upgrading Assignments from a Previous Version of PCI Compiler

Page 287 - I/O Write Operations

Altera Corporation User Guide Version 11.1 Info–iOctober 2011Additional InformationRevision HistoryThe following table displays the revision history

Page 288 - PCI Target Operation

Info–ii User Guide Version 11.1 Altera CorporationPCI Compiler October 2011How to Contact AlteraHow to Contact AlteraFor the most up-to-date informati

Page 289 - Prefetchable Operations

Altera Corporation User Guide Version 11.1 Info–iiiOctober 2011Typographic ConventionsThe following table shows the typographic conventions that this

Page 290 - Prefetchable Write Operations

1–2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI MegaCore Function Design WalkthroughAfter you have purchased a license for

Page 291 - Prefetchable Read Operations

Info–iv User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Typographic Conventions

Page 292

Altera Corporation User Guide Version 11.1 1–3October 2011 PCI CompilerGetting StartedTo create a new project, follow these steps:1. Choose Programs

Page 293

1–4 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI MegaCore Function Design Walkthrough7. Click Next to close this page and di

Page 294

Altera Corporation User Guide Version 11.1 1–5October 2011 PCI CompilerGetting Started5. The MegaWizard Plug-In Manager shows the project path that y

Page 295 - PCI Master

i–iv User Guide Version 11.1 Altera CorporationPCI Compiler

Page 296

1–6 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI MegaCore Function Design Walkthrough4. Click Next to open the Base Address

Page 297

Altera Corporation User Guide Version 11.1 1–7October 2011 PCI CompilerGetting StartedStep 2: Set Up SimulationAn IP functional simulation model is a

Page 298

1–8 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI MegaCore Function Design WalkthroughTo generate your MegaCore function, fol

Page 299 - Avalon-to-PCI Write Requests

Altera Corporation User Guide Version 11.1 1–9October 2011 PCI CompilerGetting Started2. After you review the generation report, click Exit to close

Page 300 - Avalon-to-PCI Read Requests

1–10 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Simulate the DesignThis section of the walkthrough uses the following: The IP

Page 301

Altera Corporation User Guide Version 11.1 1–11October 2011 PCI CompilerGetting StartedSimulation in the Quartus II SoftwareAltera provides Vector Wa

Page 302

1–12 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011The Quartus II Simulation Files6. In the Simulation input, specify <path>

Page 303

Altera Corporation User Guide Version 11.1 1–13October 2011 PCI CompilerGetting StartedMaster Simulation Files Table 1–2 describes the Quartus II sim

Page 304 - PCI Master Operation

1–14 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011The Quartus II Simulation FilesTable 1–3 describes the Quartus II simulation f

Page 305

Altera Corporation User Guide Version 11.1 1–15October 2011 PCI CompilerGetting StartedTarget Simulation Files Table 1–4 describes the Quartus II sim

Page 306 - Ordering of Requests

Altera Corporation vContentsAbout PCI CompilerIntroduction ...

Page 307 - ■ DRC—Delayed read completion

1–16 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Compile the DesignTable 1–5 describes the Quartus II simulation files included

Page 308

Altera Corporation User Guide Version 11.1 1–17October 2011 PCI CompilerGetting StartedFor this walkthrough, follow these steps:1. Open <path>\

Page 309

1–18 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Program a DeviceProgram a DeviceAfter you have compiled your design, program y

Page 310

Altera Corporation User Guide Version 11.1 1–19October 2011 PCI CompilerGetting Started2. In the Quartus II software, choose Tcl C o n s o l e (Vie

Page 311

1–20 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Using the Reference DesignsRefer to Table 1–3 the pci_mt32 MegaCore Function R

Page 312

Altera Corporation User Guide Version 11.1 1–21October 2011 PCI CompilerGetting Started<path>/pci_compiler/megawizard_flow/ref_designs/pci_mt32

Page 313 - Altera-Provided

1–22 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Using the Reference Designs<path>/pci_compiler/megawizard_flow/ref_desig

Page 314 - Interrupts

Altera Corporation User Guide Version 11.1 1–23October 2011 PCI CompilerGetting Started<path>/pci_compiler/megawizard_flow/ref_designs/pci_mt64

Page 315 - Control & Status

1–24 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Using the Reference Designs

Page 316

Altera Corporation User Guide Version 11.1 2–1October 20112. Parameter SettingsThis chapter describes the parameters available to configure PCI Compi

Page 317 - PCI Interrupt Status Register

vi User Guide Version 11.1 Altera CorporationPCI CompilerContentsCompile the Design ...

Page 318

2–2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Read-Only PCI Configuration RegistersRead-Only PCI Configuration RegistersParam

Page 319 - PCI Interrupt Enable Register

Altera Corporation User Guide Version 11.1 2–3October 2011Parameter SettingsThe pci_mt64 and pci_t64 MegaCore functions allow the implementation of 6

Page 320 - PCI Mailbox Register Access

2–4 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Advanced PCI MegaCore Function FeaturesOptional Interrupt CapabilitiesThe PCI M

Page 321

Altera Corporation User Guide Version 11.1 2–5October 2011Parameter SettingsAllow Variable Byte Enables During Burst TransactionsIn a default master

Page 322 - Configuration” on page 6–16

2–6 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Advanced PCI MegaCore Function FeaturesDisable Master Latency TimerTurning on t

Page 323

Altera Corporation User Guide Version 11.1 2–7October 2011Parameter SettingsVariation File ParametersIf you do not want to use the IP Toolbench Param

Page 324

2–8 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Variation File ParametersVEND_IDHexadecimal H"1172" Device vendor ID

Page 325

Altera Corporation User Guide Version 11.1 2–9October 2011Parameter SettingsHARDWIRE_BARnHexadecimal H"FF000000" Hardwire base address regi

Page 326 - Bit Name

2–10 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Variation File ParametersMAX_64_BAR_RW_BITSDecimal 8 Maximum number of read/wr

Page 327 - INTAN_CURRENT_VALUE

Altera Corporation User Guide Version 11.1 2–11October 2011Parameter SettingsTable 2–2 shows the bit definition for ENABLE_BITS.INTERRUPT_PIN_REGHexa

Page 328 - CraIrq_o) to be asserted

Altera Corporation User Guide Version 11.1 viiPCI CompilerContentsInterrupt Pin Register ...

Page 329

2–12 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Variation File Parameters8CAP_LIST_ENA0 Capabilities list enable. This bit det

Page 330

Altera Corporation User Guide Version 11.1 2–13October 2011Parameter Settings13SELF_CFG_HB_ENA (1)0 Host bridge enable. This bit controls the self-co

Page 331 - 8. Testbench

2–14 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Variation File Parameters15DISABLE_LAT_TMR (1)1 Disable master latency timer.

Page 332

Altera Corporation User Guide Version 11.1 2–15October 2011Parameter Settings17MW_CBEN_ENA0 In a standard master burst transaction the byte enables a

Page 333

2–16 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Variation File Parameters

Page 334

Altera Corporation User Guide Version 11.1 3–1October 20113. Functional DescriptionThis chapter contains detailed information on the PCI Compiler and

Page 335

3–2 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewFigure 3–1. pci_mt64 Functional Block DiagramPCI Address/Dat

Page 336

Altera Corporation User Guide Version 11.1 3–3October 2011Functional DescriptionFigure 3–2. pci_mt32 Functional Block DiagramPCI Address/Data BufferP

Page 337

3–4 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewFigure 3–3. pci_t64 Functional Block Diagramreq64nack64npar6

Page 338

Altera Corporation User Guide Version 11.1 3–5October 2011Functional DescriptionFigure 3–4. pci_t32 Functional Block DiagramPCI Address/Data BufferPa

Page 339

viii User Guide Version 11.1 Altera CorporationPCI CompilerContentsFeatures ...

Page 340

3–6 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewTarget Device Signals & Signal AssertionFigure 3–5 illus

Page 341

Altera Corporation User Guide Version 11.1 3–7October 2011Functional Description When both trdyn and irdyn are active, a data word is clocked from t

Page 342 - BAR0 x10

3–8 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewThe pci_mt64 and pci_t64 functions accept either 32-bit tran

Page 343

Altera Corporation User Guide Version 11.1 3–9October 2011Functional DescriptionMaster Device Signals & Signal AssertionFigure 3–6 illustrates th

Page 344 - Pull Up (pull_up)

3–10 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011Functional OverviewWhen the pci_mt64 or pci_mt32 function is ready to present

Page 345

Altera Corporation User Guide Version 11.1 3–11October 2011Functional DescriptionPCI Bus SignalsThe following PCI signals are used by the pci_mt64, p

Page 346

3–12 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Bus Signalsad[63..0]Tri- Sta te –Address/data bus. The ad[63..0] bus is a

Page 347 - Constraint File Tcl Scripts

Altera Corporation User Guide Version 11.1 3–13October 2011Functional Descriptionreq64n (1)STS LowRequest 64-bit transfer. The req64n signal is an ou

Page 348 - Considerations

3–14 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Bus SignalsintanOpen-Drain LowInterrupt A. The intan signal is an active-l

Page 349 - Additional

Altera Corporation User Guide Version 11.1 3–15October 2011Functional DescriptionParameterized Configuration Register SignalsTable 3–3 summarizes the

Page 350

Altera Corporation User Guide Version 11.1 ixPCI CompilerContentsSystem Options-2 ...

Page 351

3–16 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Bus SignalsTable 3–5 shows definitions for the PCI status register bits.Lo

Page 352

Altera Corporation User Guide Version 11.1 3–17October 2011Functional DescriptionTable 3–6. PCI Local Address, Data, Command & Byte Enable Signal

Page 353 - -pin_suffix

3–18 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Bus Signalsl_adro[63..0]Output – Local address output. The l_adro[63..0] b

Page 354 - Compiler

Altera Corporation User Guide Version 11.1 3–19October 2011Functional Descriptionl_cmdo[3..0]Output –Local command output. The l_cmdo[3..0] bus is dr

Page 355

3–20 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Bus SignalsTarget Local-Side SignalsTable 3–7 summarizes the target interf

Page 356

Altera Corporation User Guide Version 11.1 3–21October 2011Functional Descriptionlt_rdynInput LowLocal target ready. The local side asserts lt_rdyn t

Page 357

3–22 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Bus Signalslt_tsr[11..0]Output –Local target transaction status register.

Page 358 - How to Contact

Altera Corporation User Guide Version 11.1 3–23October 2011Functional DescriptionTable 3–8 shows definitions for the local target transaction status

Page 359 - Conventions

3–24 User Guide Version 11.1 Altera CorporationPCI Compiler October 2011PCI Bus SignalsMaster Local-Side SignalsTable 3–9 summarizes the pci_mt64 and

Page 360 - Typographic Conventions

Altera Corporation User Guide Version 11.1 3–25October 2011Functional Descriptionlm_rdynInput LowLocal master ready. The local side asserts the lm_rd

Comments to this Manuals

No comments